OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [clockgen/] [ge_clkgen_p.vhd] - Rev 2

Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
 
package ge_clkgen is
 
  component ClockGenerator
    port (
      Clk     : in  std_ulogic;
      Reset   : in  std_ulogic;
      oMCLK   : out std_ulogic;
      oBCLK   : out std_ulogic;
      oSCLK   : out std_ulogic;
      oLRCOUT : out std_ulogic);
  end component;
 
 
end ge_clkgen;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.