OpenCores
URL https://opencores.org/ocsvn/opb_usblite/opb_usblite/trunk

Subversion Repositories opb_usblite

[/] [opb_usblite/] [trunk/] [doc/] [readme.txt] - Rev 2

Go to most recent revision | Compare with Previous | Blame | View Log

opb_usblite - opb_uartlite replacement for Xilinx Microblaze processor written 
in VHDL and Verilog. The opb_usblite is compatible with the USB CDC profile and 
works with microsoft usbser virtual comport driver (VCD).

opb_usblite is using components from Rudolf Usselmann see 
http://www.opencores.org/cores/usb_phy/ and Joris van Rantwijk see 
http://www.xs4all.nl/~rjoris/fpga/usb.html

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.