OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_6_0.txt] - Rev 6

Compare with Previous | Blame | View Log

For Detailed information of the tools check www.OpenCollector.org


1. Designs (Designs CDrom)
  1) 4stack : CPU
  2) balloon  ARM development board
  3) Alltel
  4) Cornbread: IO board 
  5) cpcng board prject site
  6) Crypto:  DES core
  7) DLX processor model
  8) DPRGIR IR Proximity Detector 
  9) efi engine control
  10) f-cpu design
  11) feS2: fpga evaluation board
  12) Flapjack : IO board 
  13) FMF (Free Model Foundation) site
  14) fpgaarcad designs
  15) fracn fractional-N frequency dividers. 
  16) freecore : some  cores targeted to altera
  17) free-ip designs and site
  18) Free-risc8: CPU Project
  19) gBios: GNU bios
  20) jamCPU
  21) Khatib site and free cores
  22) LART Project
  23) Leon-II: Sparc CPU
  24) LIAB3Ddist: Linux in a Box project
  25) Logic Analyzer core
  26) m65 CPU
  27) MegaSquirt
  28) mPGA: Meta FPGA project
  29) msl16
  30) Ogg On Chip
  31) OpenBT project 
  32) OpenAutomationProject
  33) openUP: CPU 
  34) openwince drivers
  35) pancham: MD5 message digest algorithm 
  36) Piranha: CPU Project  
  37) pjrc
  38) PS-XPC84 board
  39) risc8: CPU Project
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
  41) rs-codec
  42) rstk
  43) Sayuri : CPU 
  44) servomaster: servo motor
  45) slc1657: microcontroller
  46) SOC board
  47) T80 cpu
  48) te16: FPGA CPU
  49) Traja: Java Processor
  50) vhdlcohen: design files 
  51) Some VHDL cores
  52) VHDL examples and tutorials
  53) wireless
  54) Wishbone SOC bus specifications
  55) xr16vx: CPU
  56) elphel
  57) wrec 
  58) UTNios processor
  59) grlib (set of reusable IPs)
  60) Handasa Arabia site
  61) VLSI technology library
  62) Gadgetboard
  63) OpenEEG
  64) OpenHardware.ru

2. Tools (Tools 1 & 2 CDroms)
== Analysis
 1) altc (Arbitrary Tranmission Line Calculator)
 2) kfilter : filter design tool
 3) mpac (MicroStrip Patch Antenna Calculator)
 4) ntesla: coil calculator
 5) vipec: high frequency tool
 6) Turns-n  
 7) fastcap
 8) fasteny
 9) induct
 10) sline
 11) FXTransformer : transformers tool
 12) wcalc
 13) gsmc+xsmc


== Design entry
  1) bitgen: converting digital bitstreams to analog voltage sources
  2) BoardStatus: 
  3) Brusey20: state diagram editor
  4) chipmunk tools
  5) ChipVault: HDL hierarchy tool
  6) Circuit_macros for Latex
  7) circuitsimth: 
  8) dia: block diagrams and flow charts drawing tool
  9) dtools: several scripting tools
  10) edascript
  11) eda-index
  12) edif-parser
  13) Electric: compiler and simulator. full IC design system
  14) Emacs modes: VHDL, Verilog ....
  15) fsm tool
  16) Gael:
  17) GDSreader: GDS files reader
  18) gEDA Tools: schematic and netlisting
  19) Grdrv for protel
  20) gtree 
  21) HDLmaker   hierarchy tool
  22) IDaSS
  23) JARP petri net drawing tool
  24) NGpaint: Drawing tool
  25) NMICROCODER  microcode generator
  26) pinout: script for drwing chip pins
  27) qfsm: fsm drawing tool
  28)  REDS: Schematics and PCB
  29) SNV: verilog editor
  30) sarlacc: Orcad to geda convertor
  31) SimpleElib: electric library
  32) spp: Perl wrapper for Synopsys' shells
  33) tEDA
  34) trtab: truth table generator
  35) VGI: VHDL Graphical Interface
  36) Voyeur: design veiwer
  37) xcircuit: schematic drawing tool
  38) TinyCad: schematic drawing tool
  39) Gnetman: net manupilation tool
  40) DataDraw
  41) veditor: Verilog and VHDL editor
  42) Kicad 
  43) jhdl-ide


== Instruments
  1) bsosc: Bitscope software
  2) GPIB-tcl: General purpose interface bus TCL extension
  3) Kdmm: DMM  
  4) multimeter
  5) ODDAS: Open Source DAS software
  6) oscope
  7) qtDMM : DMM
  8) qtDSO: DSO
  9) scope
  10) zmeter :Serial Digital Multimeter Interface
  11) qoscc: DSO

== IC layout /VLSI
   1) Magic: IC layout tool
   2) p2m: Image to layout tool
   3) Chipmunk 
   4) MGEN
   5) net
   6) pplot
   7) octtools
   8) PDTools
   9) LayoutEditor

== PCB layout
   1) gbliter: Gerber Printed Circuit Board Tiling Utility 
   2) gerb2tif : gerper file to tiff convertor
   3) gerber2pdf   
   4) gerbv : gerber file viewer
   5) kic
   6) lasagne: PCB layout tool
   7) mucs
   8) PCB layout tool
   9) pcbca
   10) punto
   11) traxlaserplot
   12) xelenECAD
   13) xmlpcbrender
   14) xroadmaker
   15) gerbmerge
   16) gerber2eps
   17) gervJava
   18) pcbeditor
   19) Free PCB
   20) Kicad
   21) pcb 

== uC tools
  1) asm_c84
  2) Broccoli18
  3) gpasm
  4) gpprog
  5) gpsim
  6) npc
  7) pic_cc PIC compiler
  8) picprog PIC driver
  9) picasm
  10) picdis
  11) picsim
  12) prog84-0.9
  13) Pp06: PP06 Programmer Software
  14) Sdcc
  15) vc  
  16) xnitpic X Window Simulator for PIC
  17) gpicd
  18) ptkl
  19) yapide
  20) KTechlab
  21) PiKdev 
  22) MicroDev 
  23) Odyssey 
  24) piklab


== PLD
  1) JBits-Interface
  2) palstuff
  3) Vpr PPR tool 
  4) xnf-tools
  5) xstools: xess boards tools
  6) ptgnlfsr
  7) JHDL
  8) asfpga
  9) virtextools
  10) vpr_virtex
  11) fpgaC

== Simulation
  1) alice OpenVera tool
  2) cynLib verification tool
  3) danalyzer: Digital Logic Analyzer 
  4) DigiTcl: Digital simulator
  5) dinotrace:  viewing signal wave forms
  6) gmos: Semiconductor simulator
  7) gossip-sim: synchronous data flow simulation
  8) gtkwave  
  9) gwave: wave form viewer
  10) hadlop230 : simulation  for digital optoelectronic
  11) irsim: logic simulator for MOS
  12) klogic
  13) pcisim: PCI simulator
  14) pns
  15) polis
  16) ptolemy: communication and DSP simulators
  17) retro
  18) s5: simulation of programnable logic controler
  19) SIMsynch:
  20) thud:  RTL simulation environment
  21) Timver: timing verify circuits against specifications
  22) tkgate: event-driven simulator
  23) Udl/I:Unified Design Language for Integrated Circuits
  24) vstgl: Visual STG Lab 
  25) SUGAR MEMS simulator
  26) jsim 
  27) dlsim
  28) krelais
  29) tlogsim
  30) SS1
  31) ksimus
  32) decida 
  33) gnucap
  34) SystemC-Vergs
  35) SystemPerl
  36) ViPEC
  37) MNEMS
  38) SAMSA
  39) GPLCver
  40) qucs 
  41) SIMSYNCH
  42) FlowDesign

== Spice
 1) Al's Circuit Simulator
 2) autochar "Automatic cell characterization of digital circuits.
 3) gSpice
 4) hsview: Simulation viewer
 5) macspice
 6) ng-spice : Spice  
 7) oregano: schematic capture and simulation 
 8) spice3f5 : Spice
 9) spicecad : schematic entry for the anlog simulator
 10) Spice-perl scripts
 11) spicepp  spice scripts 
 12) spiceprm spice preprocessor
 13) wave 2 hspice tool
 14) jjmodel
 15) Spice +
 16) ASCO

== Synthesis
 1) Alliance : VHDL compiler, simulator and synthesis tool
 2) Boolean:
 3) Espresso
 4) icarus VERILOG COMPILATION SYSTEM 
 5) Udl/I:Unified Design Language for Integrated Circuits
 6) Boolean Simplification
 7) boolstuff : boolean tools
 8) qmc 
 9) MVSIS
 10) bexpred
 11) balsa


== Verification
  1) Covered: Coverage Tool  (added)
  2) Fcoverage:  Functional coverage tool (added)
  3) JEDA:  hardware design verification  Programming Language  (added)
  4) RHDL  
  5) MyHDL
  6) atpg  
  7) NuSMV
  8) vtracer 
  9) confluence
  10) systemperl
  11) Teal
  12) hdcaml
  13) jove


 == Verilog
  1) dinotrace
  2) Gslondon
  3) icarus VERILOG COMPILATION SYSTEM 
  4) io    Reading files from Verilog models
  5) ivl
  6) parser
  7) pli_socket_example 
  8) smi
  9) V2k    parser, database, and elaborator.
  10) VBS    Verilog Behavioral Simulation
  11) Vcd wave veiwer
  12) ver    Structural Verilog Compiler.
  13) Verilog2C++ : verilog to C++ convertor
  14) verilog 2 vhdl
  15) Verilog Perl Package
  16) Verilog-Pli
  17) vex  
  18) vIDE Editor 
  19) vtrace
  20) VL
  21) vpp
  22) IVI 
  23) v-ms Verilog AMS
  24) csv-verilog-maker
  25) cver 
  26) Veritlator 
  27) informal  
  28) sc2v
  29) Mariana
  30) veriwell

== VHDL
 1) Alliance : compiler, simulator and synthesis tool
 2) blowfish
 3) vhd2vl: vhdl to verilog convertor
 4) vhdl2html convertor
 5) vhdl2verilog convertor
 6) Fio package  
 7) freehdl :vhdl compiler
 8) Gslondon
 9) savant : vhdl simulator
 10) vdt : vhdl compiler
 11) VHDL++
 12) VHDL-parser :
 13) Some VHDL tools
 14) Xfuzzy : vhdl tool for fuzzy system
 15) freehdl
 16) ghdl 
 17) VHDL2vlg
 18) signs 
 19) VHDL parser

== Factory and other tools
   1) bdm: mpc850 BDM software and design
   2) can controller tool
   3) ColdFire: emulator
   4) e_factory
   5) emc: Enhanced Machine Controller
   6) gbi Parallel port control
   7) io: IO interfacing board software
   8) JTAG utility
   9) ppc
   10) protoype
   11) ianjtag
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
   13) jyusecs   
   14) jtag-tools
   
== ROM Programmers
   1)  Eep
   2)  spiprog
   3)  srecord
   4) beprommer
   5) ppep

== Modeling
1) simuted 
2) Gezel
3) Plois
4) Potlemy II
5) GreeSOCs
6) sc2ast

3. OpenCores.org (OC designs CDrom)
 OpenCores site and CVS images


4. Extra Utilities (Tools 1 CDrom)
   1) Emacs   : editor
   2) Xemacs  : editor
   3) nEdit   : editor
   4) WinCvs  : CVS
   5) tcsh: OS shell (wiondows and Unix)
   6) Perl  
   7) glimmer: editor

5. Documents (Designs cdrom)
  1) VHDL-FAQ
  2) VLSI lectures
  3) CVS book

6.   Some Libraries needed by some tools (Tools CDrom @)

7. OpenRisk1000 processor (OpenRisk CDroms 1&2)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.