OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [testbench/] [log_example/] [console_test_dsc_incorrect.log] - Rev 53

Compare with Previous | Blame | View Log

asim -ieee_nowarn -O5 -g test_id=0 +access +r +m+test_dsc_incorrect stend_sp605_wishbone stend_sp605_wishbone
#  Simulation has been stopped
# ELBREAD: Elaboration process.
# ELBREAD: Elaboration time 1.0 [s].
# asim: Stack memory: 32MB
# asim: Retval memory: 32MB
# KERNEL: Main thread initiated.
# KERNEL: Kernel process initialization phase.
# KERNEL: Time resolution set to 10fs.
# ELAB2: Elaboration final pass...
# ELAB2: Create instances ...
# ELAB2: Create instances complete.
# SLP: Started
# SLP: Elaboration phase ...
# SLP: Elaboration phase ... done : 17.1 [s]
# SLP: Generation phase ...
# SLP: Generation phase ... done : 20.6 [s]
# SLP: Finished : 37.8 [s]
# SLP: 108406 (60.64%) primitives and 64707 (36.20%) other processes in SLP
# SLP: 285582 (94.99%) signals in SLP and 15068 (5.01%) interface signals
# ELAB2: Elaboration final pass complete - time: 45.6 [s].
# KERNEL: SLP loading done - time: 0.4 [s].
# KERNEL: SLP simulation initialization done - time: 0.3 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 132813 kB (elbread=35344 elab2=80835 kernel=16633 sdf=0)
#  Simulation has been initialized
#  Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
run {400 us}
# KERNEL: [ 1000 ns ] : Init start
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
# KERNEL:             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL:             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
# KERNEL:             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL:             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL:             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL:             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL:             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 107635.146 ns ] : BUS Master Enable 
# KERNEL: [ 107635.146 ns ] : Reading Config space
# KERNEL:   Addr: [0x001]
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100000]
# KERNEL: [ 107795.146 ns ] : Writing Config space
# KERNEL:   Addr: [0x001] -> Data [0x00000007]
# KERNEL: [ 107955.146 ns ] : Reading Config space
# KERNEL:   Addr: [0x001]
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100007]
# KERNEL: [ 113083.146 ns ] : Init complete
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183059.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183123.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183187.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183251.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183315.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 183379.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 187987.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188083.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188147.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188163.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188243.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 188339.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188515.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188691.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 188867.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189043.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189219.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 189395.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 203427.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: [ 207923.146 ns ] : PROC_PARSE_FRAME on Receive
# KERNEL: [ 208035.146 ns ] : PROC_PARSE_FRAME on Transmit
# KERNEL: TEST finished successfully
# KERNEL: stopped at time: 400 us
endsim
#  Simulation has been stopped
transcript to src/testbench/log/console_test_read_4kB.log

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.