OpenCores
URL https://opencores.org/ocsvn/priority_encoder/priority_encoder/trunk

Subversion Repositories priority_encoder

[/] [priority_encoder/] [trunk/] [testbench.v] - Rev 2

Compare with Previous | Blame | View Log

`timescale 1us / 100 ps
 
module testbench();
 
parameter WIDTH=128;
 
reg [(WIDTH-1):0] in_vect;
wire [(WIDTH-1):0] in_data;
assign in_data = in_vect;
 
wire [6:0] out_data;
 
wire [(WIDTH-1):0] in_data_wbs; //with bit scan
 
bitscan #(.WIDTH(128)) bs0(.in(in_data), .out(in_data_wbs));
prio_encoder #(.LINES(128)) pe0(.in(in_data), .out(out_data));
 
integer i;
 
initial
begin
    $dumpfile("bench.vcd");
    $dumpvars(0,testbench);
 
    $display("starting testbench!!!!");
 
	in_vect <= 128'd0;
		#10;
	in_vect <= 128'd1;
	i <= 1;
	repeat (WIDTH) begin
		#10;
		in_vect <= in_vect << 1;
		i <= i + 1;
		//$display("i=", i, i % 4);
 
 
	end
 
    $display("finished OK!");
end
 
endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.