OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [blk_asy_fifo_511x32.edn] - Rev 2

Compare with Previous | Blame | View Log

(edif test (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0))
(status (written (timeStamp 2005 9 27 16 32 42)
   (author "Xilinx, Inc.")
   (program "Xilinx CORE Generator" (version "Xilinx CORE Generator 6.3.03i"))))
   (comment "                                                                                
      This file is owned and controlled by Xilinx and must be used              
      solely for design, simulation, implementation and creation of             
      design files limited to Xilinx devices or technologies. Use               
      with non-Xilinx devices or technologies is expressly prohibited           
      and immediately terminates your license.                                  
                                                                                
      XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION 'AS IS'             
      SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                   
      XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION           
      AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION               
      OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                 
      IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                   
      AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE          
      FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                  
      WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                   
      IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR            
      REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF           
      INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS           
      FOR A PARTICULAR PURPOSE.                                                 
                                                                                
      Xilinx products are not intended for use in life support                  
      appliances, devices, or systems. Use in such applications are             
      expressly prohibited.                                                     
                                                                                
      (c) Copyright 1995-2004 Xilinx, Inc.                                      
      All rights reserved.                                                      
                                                                                
   ")
   (comment "Core parameters: ")
       (comment "c_use_blockmem = 1 ")
       (comment "c_rd_count_width = 9 ")
       (comment "c_has_wr_ack = 0 ")
       (comment "c_has_almost_full = 0 ")
       (comment "c_has_wr_err = 0 ")
       (comment "c_wr_err_low = 0 ")
       (comment "c_wr_ack_low = 0 ")
       (comment "c_data_width = 32 ")
       (comment "c_enable_rlocs = 1 ")
       (comment "c_rd_err_low = 0 ")
       (comment "c_rd_ack_low = 0 ")
       (comment "c_wr_count_width = 9 ")
       (comment "InstanceName = blk_asy_fifo_511x32 ")
       (comment "c_has_rd_count = 1 ")
       (comment "c_has_almost_empty = 0 ")
       (comment "c_has_rd_ack = 0 ")
       (comment "c_has_wr_count = 1 ")
       (comment "c_fifo_depth = 511 ")
       (comment "c_has_rd_err = 0 ")
   (external xilinxun (edifLevel 0)
      (technology (numberDefinition))
       (cell VCC (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port P (direction OUTPUT))
               )
           )
       )
       (cell GND (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port G (direction OUTPUT))
               )
           )
       )
       (cell FDCE (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port D (direction INPUT))
                   (port C (direction INPUT))
                   (port CE (direction INPUT))
                   (port CLR (direction INPUT))
                   (port Q (direction OUTPUT))
               )
           )
       )
       (cell FDPE (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port D (direction INPUT))
                   (port C (direction INPUT))
                   (port CE (direction INPUT))
                   (port PRE (direction INPUT))
                   (port Q (direction OUTPUT))
               )
           )
       )
       (cell LUT4 (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port I0 (direction INPUT))
                   (port I1 (direction INPUT))
                   (port I2 (direction INPUT))
                   (port I3 (direction INPUT))
                   (port O (direction OUTPUT))
               )
           )
       )
       (cell MUXCY (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port DI (direction INPUT))
                   (port CI (direction INPUT))
                   (port S (direction INPUT))
                   (port O (direction OUTPUT))
               )
           )
       )
       (cell MUXCY_D (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port DI (direction INPUT))
                   (port CI (direction INPUT))
                   (port S (direction INPUT))
                   (port O (direction OUTPUT))
                   (port LO (direction OUTPUT))
               )
           )
       )
       (cell MUXCY_L (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port DI (direction INPUT))
                   (port CI (direction INPUT))
                   (port S (direction INPUT))
                   (port LO (direction OUTPUT))
               )
           )
       )
       (cell RAMB16_S36_S36 (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port WEA (direction INPUT))
                   (port ENA (direction INPUT))
                   (port SSRA (direction INPUT))
                   (port CLKA (direction INPUT))
                   (port (rename DIA_0_ "DIA<0>") (direction INPUT))
                   (port (rename DIA_1_ "DIA<1>") (direction INPUT))
                   (port (rename DIA_2_ "DIA<2>") (direction INPUT))
                   (port (rename DIA_3_ "DIA<3>") (direction INPUT))
                   (port (rename DIA_4_ "DIA<4>") (direction INPUT))
                   (port (rename DIA_5_ "DIA<5>") (direction INPUT))
                   (port (rename DIA_6_ "DIA<6>") (direction INPUT))
                   (port (rename DIA_7_ "DIA<7>") (direction INPUT))
                   (port (rename DIA_8_ "DIA<8>") (direction INPUT))
                   (port (rename DIA_9_ "DIA<9>") (direction INPUT))
                   (port (rename DIA_10_ "DIA<10>") (direction INPUT))
                   (port (rename DIA_11_ "DIA<11>") (direction INPUT))
                   (port (rename DIA_12_ "DIA<12>") (direction INPUT))
                   (port (rename DIA_13_ "DIA<13>") (direction INPUT))
                   (port (rename DIA_14_ "DIA<14>") (direction INPUT))
                   (port (rename DIA_15_ "DIA<15>") (direction INPUT))
                   (port (rename DIA_16_ "DIA<16>") (direction INPUT))
                   (port (rename DIA_17_ "DIA<17>") (direction INPUT))
                   (port (rename DIA_18_ "DIA<18>") (direction INPUT))
                   (port (rename DIA_19_ "DIA<19>") (direction INPUT))
                   (port (rename DIA_20_ "DIA<20>") (direction INPUT))
                   (port (rename DIA_21_ "DIA<21>") (direction INPUT))
                   (port (rename DIA_22_ "DIA<22>") (direction INPUT))
                   (port (rename DIA_23_ "DIA<23>") (direction INPUT))
                   (port (rename DIA_24_ "DIA<24>") (direction INPUT))
                   (port (rename DIA_25_ "DIA<25>") (direction INPUT))
                   (port (rename DIA_26_ "DIA<26>") (direction INPUT))
                   (port (rename DIA_27_ "DIA<27>") (direction INPUT))
                   (port (rename DIA_28_ "DIA<28>") (direction INPUT))
                   (port (rename DIA_29_ "DIA<29>") (direction INPUT))
                   (port (rename DIA_30_ "DIA<30>") (direction INPUT))
                   (port (rename DIA_31_ "DIA<31>") (direction INPUT))
                   (port (rename DOA_0_ "DOA<0>") (direction OUTPUT))
                   (port (rename DOA_1_ "DOA<1>") (direction OUTPUT))
                   (port (rename DOA_2_ "DOA<2>") (direction OUTPUT))
                   (port (rename DOA_3_ "DOA<3>") (direction OUTPUT))
                   (port (rename DOA_4_ "DOA<4>") (direction OUTPUT))
                   (port (rename DOA_5_ "DOA<5>") (direction OUTPUT))
                   (port (rename DOA_6_ "DOA<6>") (direction OUTPUT))
                   (port (rename DOA_7_ "DOA<7>") (direction OUTPUT))
                   (port (rename DOA_8_ "DOA<8>") (direction OUTPUT))
                   (port (rename DOA_9_ "DOA<9>") (direction OUTPUT))
                   (port (rename DOA_10_ "DOA<10>") (direction OUTPUT))
                   (port (rename DOA_11_ "DOA<11>") (direction OUTPUT))
                   (port (rename DOA_12_ "DOA<12>") (direction OUTPUT))
                   (port (rename DOA_13_ "DOA<13>") (direction OUTPUT))
                   (port (rename DOA_14_ "DOA<14>") (direction OUTPUT))
                   (port (rename DOA_15_ "DOA<15>") (direction OUTPUT))
                   (port (rename DOA_16_ "DOA<16>") (direction OUTPUT))
                   (port (rename DOA_17_ "DOA<17>") (direction OUTPUT))
                   (port (rename DOA_18_ "DOA<18>") (direction OUTPUT))
                   (port (rename DOA_19_ "DOA<19>") (direction OUTPUT))
                   (port (rename DOA_20_ "DOA<20>") (direction OUTPUT))
                   (port (rename DOA_21_ "DOA<21>") (direction OUTPUT))
                   (port (rename DOA_22_ "DOA<22>") (direction OUTPUT))
                   (port (rename DOA_23_ "DOA<23>") (direction OUTPUT))
                   (port (rename DOA_24_ "DOA<24>") (direction OUTPUT))
                   (port (rename DOA_25_ "DOA<25>") (direction OUTPUT))
                   (port (rename DOA_26_ "DOA<26>") (direction OUTPUT))
                   (port (rename DOA_27_ "DOA<27>") (direction OUTPUT))
                   (port (rename DOA_28_ "DOA<28>") (direction OUTPUT))
                   (port (rename DOA_29_ "DOA<29>") (direction OUTPUT))
                   (port (rename DOA_30_ "DOA<30>") (direction OUTPUT))
                   (port (rename DOA_31_ "DOA<31>") (direction OUTPUT))
                   (port (rename ADDRA_0_ "ADDRA<0>") (direction INPUT))
                   (port (rename ADDRA_1_ "ADDRA<1>") (direction INPUT))
                   (port (rename ADDRA_2_ "ADDRA<2>") (direction INPUT))
                   (port (rename ADDRA_3_ "ADDRA<3>") (direction INPUT))
                   (port (rename ADDRA_4_ "ADDRA<4>") (direction INPUT))
                   (port (rename ADDRA_5_ "ADDRA<5>") (direction INPUT))
                   (port (rename ADDRA_6_ "ADDRA<6>") (direction INPUT))
                   (port (rename ADDRA_7_ "ADDRA<7>") (direction INPUT))
                   (port (rename ADDRA_8_ "ADDRA<8>") (direction INPUT))
                   (port (rename DIPA_0_ "DIPA<0>") (direction INPUT))
                   (port (rename DIPA_1_ "DIPA<1>") (direction INPUT))
                   (port (rename DIPA_2_ "DIPA<2>") (direction INPUT))
                   (port (rename DIPA_3_ "DIPA<3>") (direction INPUT))
                   (port (rename DOPA_0_ "DOPA<0>") (direction OUTPUT))
                   (port (rename DOPA_1_ "DOPA<1>") (direction OUTPUT))
                   (port (rename DOPA_2_ "DOPA<2>") (direction OUTPUT))
                   (port (rename DOPA_3_ "DOPA<3>") (direction OUTPUT))
                   (port WEB (direction INPUT))
                   (port ENB (direction INPUT))
                   (port SSRB (direction INPUT))
                   (port CLKB (direction INPUT))
                   (port (rename DIB_0_ "DIB<0>") (direction INPUT))
                   (port (rename DIB_1_ "DIB<1>") (direction INPUT))
                   (port (rename DIB_2_ "DIB<2>") (direction INPUT))
                   (port (rename DIB_3_ "DIB<3>") (direction INPUT))
                   (port (rename DIB_4_ "DIB<4>") (direction INPUT))
                   (port (rename DIB_5_ "DIB<5>") (direction INPUT))
                   (port (rename DIB_6_ "DIB<6>") (direction INPUT))
                   (port (rename DIB_7_ "DIB<7>") (direction INPUT))
                   (port (rename DIB_8_ "DIB<8>") (direction INPUT))
                   (port (rename DIB_9_ "DIB<9>") (direction INPUT))
                   (port (rename DIB_10_ "DIB<10>") (direction INPUT))
                   (port (rename DIB_11_ "DIB<11>") (direction INPUT))
                   (port (rename DIB_12_ "DIB<12>") (direction INPUT))
                   (port (rename DIB_13_ "DIB<13>") (direction INPUT))
                   (port (rename DIB_14_ "DIB<14>") (direction INPUT))
                   (port (rename DIB_15_ "DIB<15>") (direction INPUT))
                   (port (rename DIB_16_ "DIB<16>") (direction INPUT))
                   (port (rename DIB_17_ "DIB<17>") (direction INPUT))
                   (port (rename DIB_18_ "DIB<18>") (direction INPUT))
                   (port (rename DIB_19_ "DIB<19>") (direction INPUT))
                   (port (rename DIB_20_ "DIB<20>") (direction INPUT))
                   (port (rename DIB_21_ "DIB<21>") (direction INPUT))
                   (port (rename DIB_22_ "DIB<22>") (direction INPUT))
                   (port (rename DIB_23_ "DIB<23>") (direction INPUT))
                   (port (rename DIB_24_ "DIB<24>") (direction INPUT))
                   (port (rename DIB_25_ "DIB<25>") (direction INPUT))
                   (port (rename DIB_26_ "DIB<26>") (direction INPUT))
                   (port (rename DIB_27_ "DIB<27>") (direction INPUT))
                   (port (rename DIB_28_ "DIB<28>") (direction INPUT))
                   (port (rename DIB_29_ "DIB<29>") (direction INPUT))
                   (port (rename DIB_30_ "DIB<30>") (direction INPUT))
                   (port (rename DIB_31_ "DIB<31>") (direction INPUT))
                   (port (rename DOB_0_ "DOB<0>") (direction OUTPUT))
                   (port (rename DOB_1_ "DOB<1>") (direction OUTPUT))
                   (port (rename DOB_2_ "DOB<2>") (direction OUTPUT))
                   (port (rename DOB_3_ "DOB<3>") (direction OUTPUT))
                   (port (rename DOB_4_ "DOB<4>") (direction OUTPUT))
                   (port (rename DOB_5_ "DOB<5>") (direction OUTPUT))
                   (port (rename DOB_6_ "DOB<6>") (direction OUTPUT))
                   (port (rename DOB_7_ "DOB<7>") (direction OUTPUT))
                   (port (rename DOB_8_ "DOB<8>") (direction OUTPUT))
                   (port (rename DOB_9_ "DOB<9>") (direction OUTPUT))
                   (port (rename DOB_10_ "DOB<10>") (direction OUTPUT))
                   (port (rename DOB_11_ "DOB<11>") (direction OUTPUT))
                   (port (rename DOB_12_ "DOB<12>") (direction OUTPUT))
                   (port (rename DOB_13_ "DOB<13>") (direction OUTPUT))
                   (port (rename DOB_14_ "DOB<14>") (direction OUTPUT))
                   (port (rename DOB_15_ "DOB<15>") (direction OUTPUT))
                   (port (rename DOB_16_ "DOB<16>") (direction OUTPUT))
                   (port (rename DOB_17_ "DOB<17>") (direction OUTPUT))
                   (port (rename DOB_18_ "DOB<18>") (direction OUTPUT))
                   (port (rename DOB_19_ "DOB<19>") (direction OUTPUT))
                   (port (rename DOB_20_ "DOB<20>") (direction OUTPUT))
                   (port (rename DOB_21_ "DOB<21>") (direction OUTPUT))
                   (port (rename DOB_22_ "DOB<22>") (direction OUTPUT))
                   (port (rename DOB_23_ "DOB<23>") (direction OUTPUT))
                   (port (rename DOB_24_ "DOB<24>") (direction OUTPUT))
                   (port (rename DOB_25_ "DOB<25>") (direction OUTPUT))
                   (port (rename DOB_26_ "DOB<26>") (direction OUTPUT))
                   (port (rename DOB_27_ "DOB<27>") (direction OUTPUT))
                   (port (rename DOB_28_ "DOB<28>") (direction OUTPUT))
                   (port (rename DOB_29_ "DOB<29>") (direction OUTPUT))
                   (port (rename DOB_30_ "DOB<30>") (direction OUTPUT))
                   (port (rename DOB_31_ "DOB<31>") (direction OUTPUT))
                   (port (rename ADDRB_0_ "ADDRB<0>") (direction INPUT))
                   (port (rename ADDRB_1_ "ADDRB<1>") (direction INPUT))
                   (port (rename ADDRB_2_ "ADDRB<2>") (direction INPUT))
                   (port (rename ADDRB_3_ "ADDRB<3>") (direction INPUT))
                   (port (rename ADDRB_4_ "ADDRB<4>") (direction INPUT))
                   (port (rename ADDRB_5_ "ADDRB<5>") (direction INPUT))
                   (port (rename ADDRB_6_ "ADDRB<6>") (direction INPUT))
                   (port (rename ADDRB_7_ "ADDRB<7>") (direction INPUT))
                   (port (rename ADDRB_8_ "ADDRB<8>") (direction INPUT))
                   (port (rename DIPB_0_ "DIPB<0>") (direction INPUT))
                   (port (rename DIPB_1_ "DIPB<1>") (direction INPUT))
                   (port (rename DIPB_2_ "DIPB<2>") (direction INPUT))
                   (port (rename DIPB_3_ "DIPB<3>") (direction INPUT))
                   (port (rename DOPB_0_ "DOPB<0>") (direction OUTPUT))
                   (port (rename DOPB_1_ "DOPB<1>") (direction OUTPUT))
                   (port (rename DOPB_2_ "DOPB<2>") (direction OUTPUT))
                   (port (rename DOPB_3_ "DOPB<3>") (direction OUTPUT))
               )
           )
       )
       (cell XORCY (cellType GENERIC)
           (view view_1 (viewType NETLIST)
               (interface
                   (port LI (direction INPUT))
                   (port CI (direction INPUT))
                   (port O (direction OUTPUT))
               )
           )
       )
   )
(library test_lib (edifLevel 0) (technology (numberDefinition (scale 1 (E 1 -12) (unit Time))))
(cell blk_asy_fifo_511x32
 (cellType GENERIC) (view view_1 (viewType NETLIST)
  (interface
   (port ( array ( rename din "din<31:0>") 32 ) (direction INPUT))
   (port ( rename wr_en "wr_en") (direction INPUT))
   (port ( rename wr_clk "wr_clk") (direction INPUT))
   (port ( rename rd_en "rd_en") (direction INPUT))
   (port ( rename rd_clk "rd_clk") (direction INPUT))
   (port ( rename ainit "ainit") (direction INPUT))
   (port ( array ( rename dout "dout<31:0>") 32 ) (direction OUTPUT))
   (port ( rename full "full") (direction OUTPUT))
   (port ( rename empty "empty") (direction OUTPUT))
   (port ( array ( rename wr_count "wr_count<8:0>") 9 ) (direction OUTPUT))
   (port ( array ( rename rd_count "rd_count<8:0>") 9 ) (direction OUTPUT))
   )
  (contents
   (instance VCC (viewRef view_1 (cellRef VCC  (libraryRef xilinxun))))
   (instance GND (viewRef view_1 (cellRef GND  (libraryRef xilinxun))))
   (instance B7
      (viewRef view_1 (cellRef RAMB16_S36_S36 (libraryRef xilinxun)))
      (property INIT_00 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_01 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_02 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_03 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_04 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_05 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_06 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_07 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_08 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_09 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_0F (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_10 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_11 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_12 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_13 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_17 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_18 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_19 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1A (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1B (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1C (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1D (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1E (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_1F (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_20 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_21 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_22 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_23 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_24 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_25 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_26 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_27 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_28 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_29 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2A (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2B (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2C (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2D (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2E (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_2F (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_30 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_31 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_32 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_33 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_34 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_35 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_36 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_37 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_38 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_39 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3A (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3B (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3C (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3D (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3E (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INIT_3F (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_00 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_01 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_02 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_03 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_04 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_05 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_06 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property INITP_07 (string "0000000000000000000000000000000000000000000000000000000000000000"))
      (property WRITE_MODE_A (string "NO_CHANGE"))
      (property INIT_A (string "000000000"))
      (property SRVAL_A (string "000000000"))
      (property WRITE_MODE_B (string "NO_CHANGE"))
      (property INIT_B (string "000000000"))
      (property SRVAL_B (string "000000000"))
   )
   (instance BU16
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y5"))
      (property INIT (string "2222"))
   )
   (instance BU22
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y5"))
      (property INIT (string "2222"))
   )
   (instance BU29
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
      (property INIT (string "5555"))
   )
   (instance BU30
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU31
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU33
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU35
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
      (property INIT (string "aaaa"))
   )
   (instance BU36
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU37
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU39
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU41
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
      (property INIT (string "aaaa"))
   )
   (instance BU42
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU43
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU45
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU47
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
      (property INIT (string "aaaa"))
   )
   (instance BU48
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU49
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU51
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU53
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
      (property INIT (string "aaaa"))
   )
   (instance BU54
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU55
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU57
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU59
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
      (property INIT (string "aaaa"))
   )
   (instance BU60
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU61
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU63
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU65
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
      (property INIT (string "aaaa"))
   )
   (instance BU66
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU67
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU69
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU71
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
      (property INIT (string "aaaa"))
   )
   (instance BU72
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU73
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU75
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU77
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y4"))
      (property INIT (string "aaaa"))
   )
   (instance BU78
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y4"))
   )
   (instance BU80
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x0y4"))
   )
   (instance BU88
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y0"))
      (property INIT (string "6666"))
   )
   (instance BU89
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y0"))
   )
   (instance BU95
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y0"))
      (property INIT (string "6666"))
   )
   (instance BU96
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y0"))
   )
   (instance BU102
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y1"))
      (property INIT (string "6666"))
   )
   (instance BU103
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y1"))
   )
   (instance BU109
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y1"))
      (property INIT (string "6666"))
   )
   (instance BU110
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y1"))
   )
   (instance BU116
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y2"))
      (property INIT (string "6666"))
   )
   (instance BU117
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y2"))
   )
   (instance BU123
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y2"))
      (property INIT (string "6666"))
   )
   (instance BU124
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y2"))
   )
   (instance BU130
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y3"))
      (property INIT (string "6666"))
   )
   (instance BU131
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y3"))
   )
   (instance BU137
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y3"))
      (property INIT (string "6666"))
   )
   (instance BU138
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y3"))
   )
   (instance BU144
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y4"))
      (property INIT (string "6666"))
   )
   (instance BU145
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x1y4"))
   )
   (instance BU149
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
      (property INIT (string "fffe"))
   )
   (instance BU150
      (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU151
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU152
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
      (property INIT (string "ffff"))
   )
   (instance BU153
      (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU154
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU155
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
      (property INIT (string "99a5"))
   )
   (instance BU156
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU157
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU158
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
      (property INIT (string "99a5"))
   )
   (instance BU159
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU160
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU161
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
      (property INIT (string "99a5"))
   )
   (instance BU162
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU163
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU164
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
      (property INIT (string "99a5"))
   )
   (instance BU165
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU166
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU167
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
      (property INIT (string "99a5"))
   )
   (instance BU168
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU169
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU170
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
      (property INIT (string "99a5"))
   )
   (instance BU171
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU172
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU173
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
      (property INIT (string "99a5"))
   )
   (instance BU174
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU175
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU176
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
      (property INIT (string "99a5"))
   )
   (instance BU177
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU178
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU179
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y5"))
      (property INIT (string "99a5"))
   )
   (instance BU180
      (viewRef view_1 (cellRef MUXCY_D (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU182
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y5"))
      (property INIT (string "ffff"))
   )
   (instance BU183
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU184
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU189
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y0"))
   )
   (instance BU191
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y0"))
   )
   (instance BU193
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y1"))
   )
   (instance BU195
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y1"))
   )
   (instance BU197
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y2"))
   )
   (instance BU199
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y2"))
   )
   (instance BU201
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y3"))
   )
   (instance BU203
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y3"))
   )
   (instance BU205
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x3y4"))
   )
   (instance BU209
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y0"))
   )
   (instance BU211
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y0"))
   )
   (instance BU213
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y1"))
   )
   (instance BU215
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y1"))
   )
   (instance BU217
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y2"))
   )
   (instance BU219
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y2"))
   )
   (instance BU221
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y3"))
   )
   (instance BU223
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y3"))
   )
   (instance BU225
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x4y4"))
   )
   (instance BU237
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y3"))
      (property INIT (string "6666"))
   )
   (instance BU243
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y3"))
      (property INIT (string "9696"))
   )
   (instance BU249
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y2"))
      (property INIT (string "6996"))
   )
   (instance BU255
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y2"))
      (property INIT (string "6666"))
   )
   (instance BU261
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y1"))
      (property INIT (string "9696"))
   )
   (instance BU267
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y1"))
      (property INIT (string "6996"))
   )
   (instance BU279
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y0"))
      (property INIT (string "6666"))
   )
   (instance BU285
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y0"))
      (property INIT (string "9696"))
   )
   (instance BU273
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y4"))
      (property INIT (string "6996"))
   )
   (instance BU289
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y0"))
   )
   (instance BU291
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y0"))
   )
   (instance BU293
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y1"))
   )
   (instance BU295
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y1"))
   )
   (instance BU297
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y2"))
   )
   (instance BU299
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y2"))
   )
   (instance BU301
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y3"))
   )
   (instance BU303
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y3"))
   )
   (instance BU305
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x5y4"))
   )
   (instance BU310
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
      (property INIT (string "9999"))
   )
   (instance BU311
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU312
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU314
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU316
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
      (property INIT (string "9999"))
   )
   (instance BU317
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU318
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU320
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU322
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
      (property INIT (string "9999"))
   )
   (instance BU323
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU324
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU326
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU328
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
      (property INIT (string "9999"))
   )
   (instance BU329
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU330
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU332
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU334
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
      (property INIT (string "9999"))
   )
   (instance BU335
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU336
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU338
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU340
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
      (property INIT (string "9999"))
   )
   (instance BU341
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU342
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU344
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU346
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
      (property INIT (string "9999"))
   )
   (instance BU347
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU348
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU350
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU352
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
      (property INIT (string "9999"))
   )
   (instance BU353
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU354
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU356
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU358
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y4"))
      (property INIT (string "9999"))
   )
   (instance BU359
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y4"))
   )
   (instance BU360
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y4"))
   )
   (instance BU362
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y4"))
   )
   (instance BU364
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/rd_blk"))
      (property RLOC (string "x6y4"))
      (property INIT (string "9999"))
   )
   (instance BU372
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y5"))
      (property INIT (string "2222"))
   )
   (instance BU378
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y5"))
      (property INIT (string "2222"))
   )
   (instance BU385
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
      (property INIT (string "5555"))
   )
   (instance BU386
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU387
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU389
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU391
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
      (property INIT (string "aaaa"))
   )
   (instance BU392
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU393
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU395
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y0"))
   )
   (instance BU397
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
      (property INIT (string "aaaa"))
   )
   (instance BU398
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU399
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU401
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU403
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
      (property INIT (string "aaaa"))
   )
   (instance BU404
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU405
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU407
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y1"))
   )
   (instance BU409
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
      (property INIT (string "aaaa"))
   )
   (instance BU410
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU411
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU413
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU415
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
      (property INIT (string "aaaa"))
   )
   (instance BU416
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU417
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU419
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y2"))
   )
   (instance BU421
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
      (property INIT (string "aaaa"))
   )
   (instance BU422
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU423
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU425
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU427
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
      (property INIT (string "aaaa"))
   )
   (instance BU428
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU429
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU431
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y3"))
   )
   (instance BU433
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y4"))
      (property INIT (string "aaaa"))
   )
   (instance BU434
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y4"))
   )
   (instance BU436
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x0y4"))
   )
   (instance BU444
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y0"))
      (property INIT (string "6666"))
   )
   (instance BU445
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y0"))
   )
   (instance BU451
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y0"))
      (property INIT (string "6666"))
   )
   (instance BU452
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y0"))
   )
   (instance BU458
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y1"))
      (property INIT (string "6666"))
   )
   (instance BU459
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y1"))
   )
   (instance BU465
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y1"))
      (property INIT (string "6666"))
   )
   (instance BU466
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y1"))
   )
   (instance BU472
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y2"))
      (property INIT (string "6666"))
   )
   (instance BU473
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y2"))
   )
   (instance BU479
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y2"))
      (property INIT (string "6666"))
   )
   (instance BU480
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y2"))
   )
   (instance BU486
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y3"))
      (property INIT (string "6666"))
   )
   (instance BU487
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y3"))
   )
   (instance BU493
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y3"))
      (property INIT (string "6666"))
   )
   (instance BU494
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y3"))
   )
   (instance BU500
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y4"))
      (property INIT (string "6666"))
   )
   (instance BU501
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x1y4"))
   )
   (instance BU506
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y0"))
   )
   (instance BU508
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y0"))
   )
   (instance BU510
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y1"))
   )
   (instance BU512
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y1"))
   )
   (instance BU514
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y2"))
   )
   (instance BU516
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y2"))
   )
   (instance BU518
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y3"))
   )
   (instance BU520
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y3"))
   )
   (instance BU522
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x3y4"))
   )
   (instance BU525
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
      (property INIT (string "fffe"))
   )
   (instance BU526
      (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU527
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU528
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
      (property INIT (string "ffff"))
   )
   (instance BU529
      (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU530
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y0"))
   )
   (instance BU531
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
      (property INIT (string "99a5"))
   )
   (instance BU532
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU533
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU534
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
      (property INIT (string "99a5"))
   )
   (instance BU535
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU536
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y1"))
   )
   (instance BU537
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
      (property INIT (string "99a5"))
   )
   (instance BU538
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU539
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU540
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
      (property INIT (string "99a5"))
   )
   (instance BU541
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU542
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y2"))
   )
   (instance BU543
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
      (property INIT (string "99a5"))
   )
   (instance BU544
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU545
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU546
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
      (property INIT (string "99a5"))
   )
   (instance BU547
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU548
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y3"))
   )
   (instance BU549
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
      (property INIT (string "99a5"))
   )
   (instance BU550
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU551
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU552
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
      (property INIT (string "99a5"))
   )
   (instance BU553
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU554
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y4"))
   )
   (instance BU555
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y5"))
      (property INIT (string "99a5"))
   )
   (instance BU556
      (viewRef view_1 (cellRef MUXCY_D (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU558
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y5"))
      (property INIT (string "ffff"))
   )
   (instance BU559
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU560
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x2y5"))
   )
   (instance BU565
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y0"))
   )
   (instance BU567
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y0"))
   )
   (instance BU569
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y1"))
   )
   (instance BU571
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y1"))
   )
   (instance BU573
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y2"))
   )
   (instance BU575
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y2"))
   )
   (instance BU577
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y3"))
   )
   (instance BU579
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y3"))
   )
   (instance BU581
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x4y4"))
   )
   (instance BU585
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y0"))
   )
   (instance BU587
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y0"))
   )
   (instance BU589
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y1"))
   )
   (instance BU591
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y1"))
   )
   (instance BU593
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y2"))
   )
   (instance BU595
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y2"))
   )
   (instance BU597
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y3"))
   )
   (instance BU599
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y3"))
   )
   (instance BU601
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x5y4"))
   )
   (instance BU613
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y3"))
      (property INIT (string "6666"))
   )
   (instance BU619
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y3"))
      (property INIT (string "9696"))
   )
   (instance BU625
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y2"))
      (property INIT (string "6996"))
   )
   (instance BU631
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y2"))
      (property INIT (string "6666"))
   )
   (instance BU637
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y1"))
      (property INIT (string "9696"))
   )
   (instance BU643
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y1"))
      (property INIT (string "6996"))
   )
   (instance BU655
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y0"))
      (property INIT (string "6666"))
   )
   (instance BU661
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y0"))
      (property INIT (string "9696"))
   )
   (instance BU649
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y4"))
      (property INIT (string "6996"))
   )
   (instance BU665
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU667
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y0"))
   )
   (instance BU669
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU671
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y1"))
   )
   (instance BU673
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU675
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y2"))
   )
   (instance BU677
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU679
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y3"))
   )
   (instance BU681
      (viewRef view_1 (cellRef FDPE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x6y4"))
   )
   (instance BU687
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
      (property INIT (string "9999"))
   )
   (instance BU688
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU689
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU691
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU693
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
      (property INIT (string "9999"))
   )
   (instance BU694
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU695
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU697
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y0"))
   )
   (instance BU699
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
      (property INIT (string "9999"))
   )
   (instance BU700
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU701
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU703
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU705
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
      (property INIT (string "9999"))
   )
   (instance BU706
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU707
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU709
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y1"))
   )
   (instance BU711
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
      (property INIT (string "9999"))
   )
   (instance BU712
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU713
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU715
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU717
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
      (property INIT (string "9999"))
   )
   (instance BU718
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU719
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU721
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y2"))
   )
   (instance BU723
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
      (property INIT (string "9999"))
   )
   (instance BU724
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU725
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU727
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU729
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
      (property INIT (string "9999"))
   )
   (instance BU730
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU731
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU733
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y3"))
   )
   (instance BU735
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y4"))
      (property INIT (string "9999"))
   )
   (instance BU736
      (viewRef view_1 (cellRef MUXCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y4"))
   )
   (instance BU737
      (viewRef view_1 (cellRef XORCY (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y4"))
   )
   (instance BU739
      (viewRef view_1 (cellRef FDCE (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y4"))
   )
   (instance BU741
      (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun)))
      (property HU_SET (string "blk_asy_fifo_511x32/control/wr_blk"))
      (property RLOC (string "x7y4"))
      (property INIT (string "9999"))
   )
   (net N0
    (joined
      (portRef G (instanceRef GND))
      (portRef CI (instanceRef BU30))
      (portRef CI (instanceRef BU31))
      (portRef CI (instanceRef BU386))
      (portRef CI (instanceRef BU387))
      (portRef SSRA (instanceRef B7))
      (portRef WEB (instanceRef B7))
      (portRef SSRB (instanceRef B7))
      (portRef DIPA_0_ (instanceRef B7))
      (portRef DIPA_1_ (instanceRef B7))
      (portRef DIPA_2_ (instanceRef B7))
      (portRef DIPA_3_ (instanceRef B7))
      (portRef DIB_0_ (instanceRef B7))
      (portRef DIB_1_ (instanceRef B7))
      (portRef DIB_2_ (instanceRef B7))
      (portRef DIB_3_ (instanceRef B7))
      (portRef DIB_4_ (instanceRef B7))
      (portRef DIB_5_ (instanceRef B7))
      (portRef DIB_6_ (instanceRef B7))
      (portRef DIB_7_ (instanceRef B7))
      (portRef DIB_8_ (instanceRef B7))
      (portRef DIB_9_ (instanceRef B7))
      (portRef DIB_10_ (instanceRef B7))
      (portRef DIB_11_ (instanceRef B7))
      (portRef DIB_12_ (instanceRef B7))
      (portRef DIB_13_ (instanceRef B7))
      (portRef DIB_14_ (instanceRef B7))
      (portRef DIB_15_ (instanceRef B7))
      (portRef DIB_16_ (instanceRef B7))
      (portRef DIB_17_ (instanceRef B7))
      (portRef DIB_18_ (instanceRef B7))
      (portRef DIB_19_ (instanceRef B7))
      (portRef DIB_20_ (instanceRef B7))
      (portRef DIB_21_ (instanceRef B7))
      (portRef DIB_22_ (instanceRef B7))
      (portRef DIB_23_ (instanceRef B7))
      (portRef DIB_24_ (instanceRef B7))
      (portRef DIB_25_ (instanceRef B7))
      (portRef DIB_26_ (instanceRef B7))
      (portRef DIB_27_ (instanceRef B7))
      (portRef DIB_28_ (instanceRef B7))
      (portRef DIB_29_ (instanceRef B7))
      (portRef DIB_30_ (instanceRef B7))
      (portRef DIB_31_ (instanceRef B7))
      (portRef DIPB_0_ (instanceRef B7))
      (portRef DIPB_1_ (instanceRef B7))
      (portRef DIPB_2_ (instanceRef B7))
      (portRef DIPB_3_ (instanceRef B7))
      (portRef I2 (instanceRef BU16))
      (portRef I3 (instanceRef BU16))
      (portRef I2 (instanceRef BU22))
      (portRef I3 (instanceRef BU22))
      (portRef I1 (instanceRef BU29))
      (portRef I2 (instanceRef BU29))
      (portRef I3 (instanceRef BU29))
      (portRef I1 (instanceRef BU35))
      (portRef I2 (instanceRef BU35))
      (portRef I3 (instanceRef BU35))
      (portRef I1 (instanceRef BU41))
      (portRef I2 (instanceRef BU41))
      (portRef I3 (instanceRef BU41))
      (portRef I1 (instanceRef BU47))
      (portRef I2 (instanceRef BU47))
      (portRef I3 (instanceRef BU47))
      (portRef I1 (instanceRef BU53))
      (portRef I2 (instanceRef BU53))
      (portRef I3 (instanceRef BU53))
      (portRef I1 (instanceRef BU59))
      (portRef I2 (instanceRef BU59))
      (portRef I3 (instanceRef BU59))
      (portRef I1 (instanceRef BU65))
      (portRef I2 (instanceRef BU65))
      (portRef I3 (instanceRef BU65))
      (portRef I1 (instanceRef BU71))
      (portRef I2 (instanceRef BU71))
      (portRef I3 (instanceRef BU71))
      (portRef I1 (instanceRef BU77))
      (portRef I2 (instanceRef BU77))
      (portRef I3 (instanceRef BU77))
      (portRef I2 (instanceRef BU88))
      (portRef I3 (instanceRef BU88))
      (portRef I2 (instanceRef BU95))
      (portRef I3 (instanceRef BU95))
      (portRef I2 (instanceRef BU102))
      (portRef I3 (instanceRef BU102))
      (portRef I2 (instanceRef BU109))
      (portRef I3 (instanceRef BU109))
      (portRef I2 (instanceRef BU116))
      (portRef I3 (instanceRef BU116))
      (portRef I2 (instanceRef BU123))
      (portRef I3 (instanceRef BU123))
      (portRef I2 (instanceRef BU130))
      (portRef I3 (instanceRef BU130))
      (portRef I2 (instanceRef BU137))
      (portRef I3 (instanceRef BU137))
      (portRef I1 (instanceRef BU144))
      (portRef I2 (instanceRef BU144))
      (portRef I3 (instanceRef BU144))
      (portRef I2 (instanceRef BU149))
      (portRef I3 (instanceRef BU149))
      (portRef DI (instanceRef BU150))
      (portRef I0 (instanceRef BU152))
      (portRef I1 (instanceRef BU152))
      (portRef I2 (instanceRef BU152))
      (portRef I3 (instanceRef BU152))
      (portRef DI (instanceRef BU153))
      (portRef DI (instanceRef BU156))
      (portRef D (instanceRef BU157))
      (portRef C (instanceRef BU157))
      (portRef CE (instanceRef BU157))
      (portRef CLR (instanceRef BU157))
      (portRef DI (instanceRef BU159))
      (portRef DI (instanceRef BU162))
      (portRef DI (instanceRef BU165))
      (portRef DI (instanceRef BU168))
      (portRef DI (instanceRef BU171))
      (portRef DI (instanceRef BU174))
      (portRef DI (instanceRef BU177))
      (portRef DI (instanceRef BU180))
      (portRef I0 (instanceRef BU182))
      (portRef I1 (instanceRef BU182))
      (portRef I2 (instanceRef BU182))
      (portRef I3 (instanceRef BU182))
      (portRef LI (instanceRef BU183))
      (portRef I2 (instanceRef BU237))
      (portRef I3 (instanceRef BU237))
      (portRef I3 (instanceRef BU243))
      (portRef I2 (instanceRef BU255))
      (portRef I3 (instanceRef BU255))
      (portRef I3 (instanceRef BU261))
      (portRef I2 (instanceRef BU279))
      (portRef I3 (instanceRef BU279))
      (portRef I3 (instanceRef BU285))
      (portRef I2 (instanceRef BU310))
      (portRef I3 (instanceRef BU310))
      (portRef I2 (instanceRef BU316))
      (portRef I3 (instanceRef BU316))
      (portRef I2 (instanceRef BU322))
      (portRef I3 (instanceRef BU322))
      (portRef I2 (instanceRef BU328))
      (portRef I3 (instanceRef BU328))
      (portRef I2 (instanceRef BU334))
      (portRef I3 (instanceRef BU334))
      (portRef I2 (instanceRef BU340))
      (portRef I3 (instanceRef BU340))
      (portRef I2 (instanceRef BU346))
      (portRef I3 (instanceRef BU346))
      (portRef I2 (instanceRef BU352))
      (portRef I3 (instanceRef BU352))
      (portRef I2 (instanceRef BU358))
      (portRef I3 (instanceRef BU358))
      (portRef I1 (instanceRef BU364))
      (portRef I2 (instanceRef BU364))
      (portRef I3 (instanceRef BU364))
      (portRef I2 (instanceRef BU372))
      (portRef I3 (instanceRef BU372))
      (portRef I2 (instanceRef BU378))
      (portRef I3 (instanceRef BU378))
      (portRef I1 (instanceRef BU385))
      (portRef I2 (instanceRef BU385))
      (portRef I3 (instanceRef BU385))
      (portRef I1 (instanceRef BU391))
      (portRef I2 (instanceRef BU391))
      (portRef I3 (instanceRef BU391))
      (portRef I1 (instanceRef BU397))
      (portRef I2 (instanceRef BU397))
      (portRef I3 (instanceRef BU397))
      (portRef I1 (instanceRef BU403))
      (portRef I2 (instanceRef BU403))
      (portRef I3 (instanceRef BU403))
      (portRef I1 (instanceRef BU409))
      (portRef I2 (instanceRef BU409))
      (portRef I3 (instanceRef BU409))
      (portRef I1 (instanceRef BU415))
      (portRef I2 (instanceRef BU415))
      (portRef I3 (instanceRef BU415))
      (portRef I1 (instanceRef BU421))
      (portRef I2 (instanceRef BU421))
      (portRef I3 (instanceRef BU421))
      (portRef I1 (instanceRef BU427))
      (portRef I2 (instanceRef BU427))
      (portRef I3 (instanceRef BU427))
      (portRef I1 (instanceRef BU433))
      (portRef I2 (instanceRef BU433))
      (portRef I3 (instanceRef BU433))
      (portRef I2 (instanceRef BU444))
      (portRef I3 (instanceRef BU444))
      (portRef I2 (instanceRef BU451))
      (portRef I3 (instanceRef BU451))
      (portRef I2 (instanceRef BU458))
      (portRef I3 (instanceRef BU458))
      (portRef I2 (instanceRef BU465))
      (portRef I3 (instanceRef BU465))
      (portRef I2 (instanceRef BU472))
      (portRef I3 (instanceRef BU472))
      (portRef I2 (instanceRef BU479))
      (portRef I3 (instanceRef BU479))
      (portRef I2 (instanceRef BU486))
      (portRef I3 (instanceRef BU486))
      (portRef I2 (instanceRef BU493))
      (portRef I3 (instanceRef BU493))
      (portRef I1 (instanceRef BU500))
      (portRef I2 (instanceRef BU500))
      (portRef I3 (instanceRef BU500))
      (portRef I2 (instanceRef BU525))
      (portRef I3 (instanceRef BU525))
      (portRef DI (instanceRef BU526))
      (portRef I0 (instanceRef BU528))
      (portRef I1 (instanceRef BU528))
      (portRef I2 (instanceRef BU528))
      (portRef I3 (instanceRef BU528))
      (portRef DI (instanceRef BU529))
      (portRef DI (instanceRef BU532))
      (portRef D (instanceRef BU533))
      (portRef C (instanceRef BU533))
      (portRef CE (instanceRef BU533))
      (portRef CLR (instanceRef BU533))
      (portRef DI (instanceRef BU535))
      (portRef DI (instanceRef BU538))
      (portRef DI (instanceRef BU541))
      (portRef DI (instanceRef BU544))
      (portRef DI (instanceRef BU547))
      (portRef DI (instanceRef BU550))
      (portRef DI (instanceRef BU553))
      (portRef DI (instanceRef BU556))
      (portRef I0 (instanceRef BU558))
      (portRef I1 (instanceRef BU558))
      (portRef I2 (instanceRef BU558))
      (portRef I3 (instanceRef BU558))
      (portRef LI (instanceRef BU559))
      (portRef I2 (instanceRef BU613))
      (portRef I3 (instanceRef BU613))
      (portRef I3 (instanceRef BU619))
      (portRef I2 (instanceRef BU631))
      (portRef I3 (instanceRef BU631))
      (portRef I3 (instanceRef BU637))
      (portRef I2 (instanceRef BU655))
      (portRef I3 (instanceRef BU655))
      (portRef I3 (instanceRef BU661))
      (portRef I2 (instanceRef BU687))
      (portRef I3 (instanceRef BU687))
      (portRef I2 (instanceRef BU693))
      (portRef I3 (instanceRef BU693))
      (portRef I2 (instanceRef BU699))
      (portRef I3 (instanceRef BU699))
      (portRef I2 (instanceRef BU705))
      (portRef I3 (instanceRef BU705))
      (portRef I2 (instanceRef BU711))
      (portRef I3 (instanceRef BU711))
      (portRef I2 (instanceRef BU717))
      (portRef I3 (instanceRef BU717))
      (portRef I2 (instanceRef BU723))
      (portRef I3 (instanceRef BU723))
      (portRef I2 (instanceRef BU729))
      (portRef I3 (instanceRef BU729))
      (portRef I2 (instanceRef BU735))
      (portRef I3 (instanceRef BU735))
      (portRef I1 (instanceRef BU741))
      (portRef I2 (instanceRef BU741))
      (portRef I3 (instanceRef BU741))
    )
   )
   (net N1
    (joined
      (portRef P (instanceRef VCC))
      (portRef CI (instanceRef BU311))
      (portRef CI (instanceRef BU312))
      (portRef CE (instanceRef BU314))
      (portRef CE (instanceRef BU320))
      (portRef CE (instanceRef BU326))
      (portRef CE (instanceRef BU332))
      (portRef CE (instanceRef BU338))
      (portRef CE (instanceRef BU344))
      (portRef CE (instanceRef BU350))
      (portRef CE (instanceRef BU356))
      (portRef CE (instanceRef BU362))
      (portRef CI (instanceRef BU688))
      (portRef CI (instanceRef BU689))
      (portRef CE (instanceRef BU691))
      (portRef CE (instanceRef BU697))
      (portRef CE (instanceRef BU703))
      (portRef CE (instanceRef BU709))
      (portRef CE (instanceRef BU715))
      (portRef CE (instanceRef BU721))
      (portRef CE (instanceRef BU727))
      (portRef CE (instanceRef BU733))
      (portRef CE (instanceRef BU739))
      (portRef ENA (instanceRef B7))
      (portRef CI (instanceRef BU150))
      (portRef CI (instanceRef BU153))
      (portRef CI (instanceRef BU156))
      (portRef CE (instanceRef BU209))
      (portRef CE (instanceRef BU211))
      (portRef CE (instanceRef BU213))
      (portRef CE (instanceRef BU215))
      (portRef CE (instanceRef BU217))
      (portRef CE (instanceRef BU219))
      (portRef CE (instanceRef BU221))
      (portRef CE (instanceRef BU223))
      (portRef CE (instanceRef BU225))
      (portRef CE (instanceRef BU289))
      (portRef CE (instanceRef BU291))
      (portRef CE (instanceRef BU293))
      (portRef CE (instanceRef BU295))
      (portRef CE (instanceRef BU297))
      (portRef CE (instanceRef BU299))
      (portRef CE (instanceRef BU301))
      (portRef CE (instanceRef BU303))
      (portRef CE (instanceRef BU305))
      (portRef I0 (instanceRef BU364))
      (portRef CI (instanceRef BU526))
      (portRef CI (instanceRef BU529))
      (portRef CI (instanceRef BU532))
      (portRef CE (instanceRef BU585))
      (portRef CE (instanceRef BU587))
      (portRef CE (instanceRef BU589))
      (portRef CE (instanceRef BU591))
      (portRef CE (instanceRef BU593))
      (portRef CE (instanceRef BU595))
      (portRef CE (instanceRef BU597))
      (portRef CE (instanceRef BU599))
      (portRef CE (instanceRef BU601))
      (portRef CE (instanceRef BU665))
      (portRef CE (instanceRef BU667))
      (portRef CE (instanceRef BU669))
      (portRef CE (instanceRef BU671))
      (portRef CE (instanceRef BU673))
      (portRef CE (instanceRef BU675))
      (portRef CE (instanceRef BU677))
      (portRef CE (instanceRef BU679))
      (portRef CE (instanceRef BU681))
      (portRef I0 (instanceRef BU741))
    )
   )
   (net N2
    (joined
      (portRef ADDRA_8_ (instanceRef B7))
      (portRef I0 (instanceRef BU433))
      (portRef Q (instanceRef BU436))
      (portRef I1 (instanceRef BU493))
      (portRef I0 (instanceRef BU500))
      (portRef D (instanceRef BU581))
    )
   )
   (net N3
    (joined
      (portRef ADDRA_7_ (instanceRef B7))
      (portRef DI (instanceRef BU428))
      (portRef I0 (instanceRef BU427))
      (portRef Q (instanceRef BU431))
      (portRef I1 (instanceRef BU486))
      (portRef I0 (instanceRef BU493))
      (portRef D (instanceRef BU579))
    )
   )
   (net N4
    (joined
      (portRef ADDRA_6_ (instanceRef B7))
      (portRef DI (instanceRef BU422))
      (portRef I0 (instanceRef BU421))
      (portRef Q (instanceRef BU425))
      (portRef I1 (instanceRef BU479))
      (portRef I0 (instanceRef BU486))
      (portRef D (instanceRef BU577))
    )
   )
   (net N5
    (joined
      (portRef ADDRA_5_ (instanceRef B7))
      (portRef DI (instanceRef BU416))
      (portRef I0 (instanceRef BU415))
      (portRef Q (instanceRef BU419))
      (portRef I1 (instanceRef BU472))
      (portRef I0 (instanceRef BU479))
      (portRef D (instanceRef BU575))
    )
   )
   (net N6
    (joined
      (portRef ADDRA_4_ (instanceRef B7))
      (portRef DI (instanceRef BU410))
      (portRef I0 (instanceRef BU409))
      (portRef Q (instanceRef BU413))
      (portRef I1 (instanceRef BU465))
      (portRef I0 (instanceRef BU472))
      (portRef D (instanceRef BU573))
    )
   )
   (net N7
    (joined
      (portRef ADDRA_3_ (instanceRef B7))
      (portRef DI (instanceRef BU404))
      (portRef I0 (instanceRef BU403))
      (portRef Q (instanceRef BU407))
      (portRef I1 (instanceRef BU458))
      (portRef I0 (instanceRef BU465))
      (portRef D (instanceRef BU571))
    )
   )
   (net N8
    (joined
      (portRef ADDRA_2_ (instanceRef B7))
      (portRef DI (instanceRef BU398))
      (portRef I0 (instanceRef BU397))
      (portRef Q (instanceRef BU401))
      (portRef I1 (instanceRef BU451))
      (portRef I0 (instanceRef BU458))
      (portRef D (instanceRef BU569))
    )
   )
   (net N9
    (joined
      (portRef ADDRA_1_ (instanceRef B7))
      (portRef DI (instanceRef BU392))
      (portRef I0 (instanceRef BU391))
      (portRef Q (instanceRef BU395))
      (portRef I1 (instanceRef BU444))
      (portRef I0 (instanceRef BU451))
      (portRef D (instanceRef BU567))
    )
   )
   (net N10
    (joined
      (portRef ADDRA_0_ (instanceRef B7))
      (portRef DI (instanceRef BU386))
      (portRef I0 (instanceRef BU385))
      (portRef Q (instanceRef BU389))
      (portRef I0 (instanceRef BU444))
      (portRef D (instanceRef BU565))
    )
   )
   (net N11
    (joined
      (portRef ADDRB_8_ (instanceRef B7))
      (portRef I0 (instanceRef BU77))
      (portRef Q (instanceRef BU80))
      (portRef I1 (instanceRef BU137))
      (portRef I0 (instanceRef BU144))
      (portRef D (instanceRef BU205))
    )
   )
   (net N12
    (joined
      (portRef ADDRB_7_ (instanceRef B7))
      (portRef DI (instanceRef BU72))
      (portRef I0 (instanceRef BU71))
      (portRef Q (instanceRef BU75))
      (portRef I1 (instanceRef BU130))
      (portRef I0 (instanceRef BU137))
      (portRef D (instanceRef BU203))
    )
   )
   (net N13
    (joined
      (portRef ADDRB_6_ (instanceRef B7))
      (portRef DI (instanceRef BU66))
      (portRef I0 (instanceRef BU65))
      (portRef Q (instanceRef BU69))
      (portRef I1 (instanceRef BU123))
      (portRef I0 (instanceRef BU130))
      (portRef D (instanceRef BU201))
    )
   )
   (net N14
    (joined
      (portRef ADDRB_5_ (instanceRef B7))
      (portRef DI (instanceRef BU60))
      (portRef I0 (instanceRef BU59))
      (portRef Q (instanceRef BU63))
      (portRef I1 (instanceRef BU116))
      (portRef I0 (instanceRef BU123))
      (portRef D (instanceRef BU199))
    )
   )
   (net N15
    (joined
      (portRef ADDRB_4_ (instanceRef B7))
      (portRef DI (instanceRef BU54))
      (portRef I0 (instanceRef BU53))
      (portRef Q (instanceRef BU57))
      (portRef I1 (instanceRef BU109))
      (portRef I0 (instanceRef BU116))
      (portRef D (instanceRef BU197))
    )
   )
   (net N16
    (joined
      (portRef ADDRB_3_ (instanceRef B7))
      (portRef DI (instanceRef BU48))
      (portRef I0 (instanceRef BU47))
      (portRef Q (instanceRef BU51))
      (portRef I1 (instanceRef BU102))
      (portRef I0 (instanceRef BU109))
      (portRef D (instanceRef BU195))
    )
   )
   (net N17
    (joined
      (portRef ADDRB_2_ (instanceRef B7))
      (portRef DI (instanceRef BU42))
      (portRef I0 (instanceRef BU41))
      (portRef Q (instanceRef BU45))
      (portRef I1 (instanceRef BU95))
      (portRef I0 (instanceRef BU102))
      (portRef D (instanceRef BU193))
    )
   )
   (net N18
    (joined
      (portRef ADDRB_1_ (instanceRef B7))
      (portRef DI (instanceRef BU36))
      (portRef I0 (instanceRef BU35))
      (portRef Q (instanceRef BU39))
      (portRef I1 (instanceRef BU88))
      (portRef I0 (instanceRef BU95))
      (portRef D (instanceRef BU191))
    )
   )
   (net N19
    (joined
      (portRef ADDRB_0_ (instanceRef B7))
      (portRef DI (instanceRef BU30))
      (portRef I0 (instanceRef BU29))
      (portRef Q (instanceRef BU33))
      (portRef I0 (instanceRef BU88))
      (portRef D (instanceRef BU189))
    )
   )
   (net N20
    (joined
      (portRef ENB (instanceRef B7))
      (portRef O (instanceRef BU22))
    )
   )
   (net N21
    (joined
      (portRef WEA (instanceRef B7))
      (portRef O (instanceRef BU378))
    )
   )
   (net (rename N24 "wr_count<8>")
    (joined
      (portRef (member wr_count 0))
      (portRef Q (instanceRef BU739))
    )
   )
   (net (rename N25 "wr_count<7>")
    (joined
      (portRef (member wr_count 1))
      (portRef Q (instanceRef BU733))
    )
   )
   (net (rename N26 "wr_count<6>")
    (joined
      (portRef (member wr_count 2))
      (portRef Q (instanceRef BU727))
    )
   )
   (net (rename N27 "wr_count<5>")
    (joined
      (portRef (member wr_count 3))
      (portRef Q (instanceRef BU721))
    )
   )
   (net (rename N28 "wr_count<4>")
    (joined
      (portRef (member wr_count 4))
      (portRef Q (instanceRef BU715))
    )
   )
   (net (rename N29 "wr_count<3>")
    (joined
      (portRef (member wr_count 5))
      (portRef Q (instanceRef BU709))
    )
   )
   (net (rename N30 "wr_count<2>")
    (joined
      (portRef (member wr_count 6))
      (portRef Q (instanceRef BU703))
    )
   )
   (net (rename N31 "wr_count<1>")
    (joined
      (portRef (member wr_count 7))
      (portRef Q (instanceRef BU697))
    )
   )
   (net (rename N32 "wr_count<0>")
    (joined
      (portRef (member wr_count 8))
      (portRef Q (instanceRef BU691))
    )
   )
   (net (rename N33 "rd_count<8>")
    (joined
      (portRef (member rd_count 0))
      (portRef Q (instanceRef BU362))
    )
   )
   (net (rename N34 "rd_count<7>")
    (joined
      (portRef (member rd_count 1))
      (portRef Q (instanceRef BU356))
    )
   )
   (net (rename N35 "rd_count<6>")
    (joined
      (portRef (member rd_count 2))
      (portRef Q (instanceRef BU350))
    )
   )
   (net (rename N36 "rd_count<5>")
    (joined
      (portRef (member rd_count 3))
      (portRef Q (instanceRef BU344))
    )
   )
   (net (rename N37 "rd_count<4>")
    (joined
      (portRef (member rd_count 4))
      (portRef Q (instanceRef BU338))
    )
   )
   (net (rename N38 "rd_count<3>")
    (joined
      (portRef (member rd_count 5))
      (portRef Q (instanceRef BU332))
    )
   )
   (net (rename N39 "rd_count<2>")
    (joined
      (portRef (member rd_count 6))
      (portRef Q (instanceRef BU326))
    )
   )
   (net (rename N40 "rd_count<1>")
    (joined
      (portRef (member rd_count 7))
      (portRef Q (instanceRef BU320))
    )
   )
   (net (rename N41 "rd_count<0>")
    (joined
      (portRef (member rd_count 8))
      (portRef Q (instanceRef BU314))
    )
   )
   (net (rename N46 "din<31>")
    (joined
      (portRef (member din 0))
      (portRef DIA_31_ (instanceRef B7))
    )
   )
   (net (rename N47 "din<30>")
    (joined
      (portRef (member din 1))
      (portRef DIA_30_ (instanceRef B7))
    )
   )
   (net (rename N48 "din<29>")
    (joined
      (portRef (member din 2))
      (portRef DIA_29_ (instanceRef B7))
    )
   )
   (net (rename N49 "din<28>")
    (joined
      (portRef (member din 3))
      (portRef DIA_28_ (instanceRef B7))
    )
   )
   (net (rename N50 "din<27>")
    (joined
      (portRef (member din 4))
      (portRef DIA_27_ (instanceRef B7))
    )
   )
   (net (rename N51 "din<26>")
    (joined
      (portRef (member din 5))
      (portRef DIA_26_ (instanceRef B7))
    )
   )
   (net (rename N52 "din<25>")
    (joined
      (portRef (member din 6))
      (portRef DIA_25_ (instanceRef B7))
    )
   )
   (net (rename N53 "din<24>")
    (joined
      (portRef (member din 7))
      (portRef DIA_24_ (instanceRef B7))
    )
   )
   (net (rename N54 "din<23>")
    (joined
      (portRef (member din 8))
      (portRef DIA_23_ (instanceRef B7))
    )
   )
   (net (rename N55 "din<22>")
    (joined
      (portRef (member din 9))
      (portRef DIA_22_ (instanceRef B7))
    )
   )
   (net (rename N56 "din<21>")
    (joined
      (portRef (member din 10))
      (portRef DIA_21_ (instanceRef B7))
    )
   )
   (net (rename N57 "din<20>")
    (joined
      (portRef (member din 11))
      (portRef DIA_20_ (instanceRef B7))
    )
   )
   (net (rename N58 "din<19>")
    (joined
      (portRef (member din 12))
      (portRef DIA_19_ (instanceRef B7))
    )
   )
   (net (rename N59 "din<18>")
    (joined
      (portRef (member din 13))
      (portRef DIA_18_ (instanceRef B7))
    )
   )
   (net (rename N60 "din<17>")
    (joined
      (portRef (member din 14))
      (portRef DIA_17_ (instanceRef B7))
    )
   )
   (net (rename N61 "din<16>")
    (joined
      (portRef (member din 15))
      (portRef DIA_16_ (instanceRef B7))
    )
   )
   (net (rename N62 "din<15>")
    (joined
      (portRef (member din 16))
      (portRef DIA_15_ (instanceRef B7))
    )
   )
   (net (rename N63 "din<14>")
    (joined
      (portRef (member din 17))
      (portRef DIA_14_ (instanceRef B7))
    )
   )
   (net (rename N64 "din<13>")
    (joined
      (portRef (member din 18))
      (portRef DIA_13_ (instanceRef B7))
    )
   )
   (net (rename N65 "din<12>")
    (joined
      (portRef (member din 19))
      (portRef DIA_12_ (instanceRef B7))
    )
   )
   (net (rename N66 "din<11>")
    (joined
      (portRef (member din 20))
      (portRef DIA_11_ (instanceRef B7))
    )
   )
   (net (rename N67 "din<10>")
    (joined
      (portRef (member din 21))
      (portRef DIA_10_ (instanceRef B7))
    )
   )
   (net (rename N68 "din<9>")
    (joined
      (portRef (member din 22))
      (portRef DIA_9_ (instanceRef B7))
    )
   )
   (net (rename N69 "din<8>")
    (joined
      (portRef (member din 23))
      (portRef DIA_8_ (instanceRef B7))
    )
   )
   (net (rename N70 "din<7>")
    (joined
      (portRef (member din 24))
      (portRef DIA_7_ (instanceRef B7))
    )
   )
   (net (rename N71 "din<6>")
    (joined
      (portRef (member din 25))
      (portRef DIA_6_ (instanceRef B7))
    )
   )
   (net (rename N72 "din<5>")
    (joined
      (portRef (member din 26))
      (portRef DIA_5_ (instanceRef B7))
    )
   )
   (net (rename N73 "din<4>")
    (joined
      (portRef (member din 27))
      (portRef DIA_4_ (instanceRef B7))
    )
   )
   (net (rename N74 "din<3>")
    (joined
      (portRef (member din 28))
      (portRef DIA_3_ (instanceRef B7))
    )
   )
   (net (rename N75 "din<2>")
    (joined
      (portRef (member din 29))
      (portRef DIA_2_ (instanceRef B7))
    )
   )
   (net (rename N76 "din<1>")
    (joined
      (portRef (member din 30))
      (portRef DIA_1_ (instanceRef B7))
    )
   )
   (net (rename N77 "din<0>")
    (joined
      (portRef (member din 31))
      (portRef DIA_0_ (instanceRef B7))
    )
   )
   (net (rename N78 "wr_en")
    (joined
      (portRef wr_en)
      (portRef I0 (instanceRef BU372))
      (portRef I0 (instanceRef BU378))
      (portRef I0 (instanceRef BU525))
    )
   )
   (net (rename N79 "wr_clk")
    (joined
      (portRef wr_clk)
      (portRef CLKA (instanceRef B7))
      (portRef C (instanceRef BU151))
      (portRef C (instanceRef BU154))
      (portRef C (instanceRef BU160))
      (portRef C (instanceRef BU163))
      (portRef C (instanceRef BU166))
      (portRef C (instanceRef BU169))
      (portRef C (instanceRef BU172))
      (portRef C (instanceRef BU175))
      (portRef C (instanceRef BU178))
      (portRef C (instanceRef BU389))
      (portRef C (instanceRef BU395))
      (portRef C (instanceRef BU401))
      (portRef C (instanceRef BU407))
      (portRef C (instanceRef BU413))
      (portRef C (instanceRef BU419))
      (portRef C (instanceRef BU425))
      (portRef C (instanceRef BU431))
      (portRef C (instanceRef BU436))
      (portRef C (instanceRef BU445))
      (portRef C (instanceRef BU452))
      (portRef C (instanceRef BU459))
      (portRef C (instanceRef BU466))
      (portRef C (instanceRef BU473))
      (portRef C (instanceRef BU480))
      (portRef C (instanceRef BU487))
      (portRef C (instanceRef BU494))
      (portRef C (instanceRef BU501))
      (portRef C (instanceRef BU560))
      (portRef C (instanceRef BU565))
      (portRef C (instanceRef BU567))
      (portRef C (instanceRef BU569))
      (portRef C (instanceRef BU571))
      (portRef C (instanceRef BU573))
      (portRef C (instanceRef BU575))
      (portRef C (instanceRef BU577))
      (portRef C (instanceRef BU579))
      (portRef C (instanceRef BU581))
      (portRef C (instanceRef BU585))
      (portRef C (instanceRef BU587))
      (portRef C (instanceRef BU589))
      (portRef C (instanceRef BU591))
      (portRef C (instanceRef BU593))
      (portRef C (instanceRef BU595))
      (portRef C (instanceRef BU597))
      (portRef C (instanceRef BU599))
      (portRef C (instanceRef BU601))
      (portRef C (instanceRef BU665))
      (portRef C (instanceRef BU667))
      (portRef C (instanceRef BU669))
      (portRef C (instanceRef BU671))
      (portRef C (instanceRef BU673))
      (portRef C (instanceRef BU675))
      (portRef C (instanceRef BU677))
      (portRef C (instanceRef BU679))
      (portRef C (instanceRef BU681))
      (portRef C (instanceRef BU691))
      (portRef C (instanceRef BU697))
      (portRef C (instanceRef BU703))
      (portRef C (instanceRef BU709))
      (portRef C (instanceRef BU715))
      (portRef C (instanceRef BU721))
      (portRef C (instanceRef BU727))
      (portRef C (instanceRef BU733))
      (portRef C (instanceRef BU739))
    )
   )
   (net (rename N80 "rd_en")
    (joined
      (portRef rd_en)
      (portRef I0 (instanceRef BU16))
      (portRef I0 (instanceRef BU22))
      (portRef I0 (instanceRef BU149))
    )
   )
   (net (rename N81 "rd_clk")
    (joined
      (portRef rd_clk)
      (portRef CLKB (instanceRef B7))
      (portRef C (instanceRef BU33))
      (portRef C (instanceRef BU39))
      (portRef C (instanceRef BU45))
      (portRef C (instanceRef BU51))
      (portRef C (instanceRef BU57))
      (portRef C (instanceRef BU63))
      (portRef C (instanceRef BU69))
      (portRef C (instanceRef BU75))
      (portRef C (instanceRef BU80))
      (portRef C (instanceRef BU89))
      (portRef C (instanceRef BU96))
      (portRef C (instanceRef BU103))
      (portRef C (instanceRef BU110))
      (portRef C (instanceRef BU117))
      (portRef C (instanceRef BU124))
      (portRef C (instanceRef BU131))
      (portRef C (instanceRef BU138))
      (portRef C (instanceRef BU145))
      (portRef C (instanceRef BU184))
      (portRef C (instanceRef BU189))
      (portRef C (instanceRef BU191))
      (portRef C (instanceRef BU193))
      (portRef C (instanceRef BU195))
      (portRef C (instanceRef BU197))
      (portRef C (instanceRef BU199))
      (portRef C (instanceRef BU201))
      (portRef C (instanceRef BU203))
      (portRef C (instanceRef BU205))
      (portRef C (instanceRef BU209))
      (portRef C (instanceRef BU211))
      (portRef C (instanceRef BU213))
      (portRef C (instanceRef BU215))
      (portRef C (instanceRef BU217))
      (portRef C (instanceRef BU219))
      (portRef C (instanceRef BU221))
      (portRef C (instanceRef BU223))
      (portRef C (instanceRef BU225))
      (portRef C (instanceRef BU289))
      (portRef C (instanceRef BU291))
      (portRef C (instanceRef BU293))
      (portRef C (instanceRef BU295))
      (portRef C (instanceRef BU297))
      (portRef C (instanceRef BU299))
      (portRef C (instanceRef BU301))
      (portRef C (instanceRef BU303))
      (portRef C (instanceRef BU305))
      (portRef C (instanceRef BU314))
      (portRef C (instanceRef BU320))
      (portRef C (instanceRef BU326))
      (portRef C (instanceRef BU332))
      (portRef C (instanceRef BU338))
      (portRef C (instanceRef BU344))
      (portRef C (instanceRef BU350))
      (portRef C (instanceRef BU356))
      (portRef C (instanceRef BU362))
      (portRef C (instanceRef BU506))
      (portRef C (instanceRef BU508))
      (portRef C (instanceRef BU510))
      (portRef C (instanceRef BU512))
      (portRef C (instanceRef BU514))
      (portRef C (instanceRef BU516))
      (portRef C (instanceRef BU518))
      (portRef C (instanceRef BU520))
      (portRef C (instanceRef BU522))
      (portRef C (instanceRef BU527))
      (portRef C (instanceRef BU530))
      (portRef C (instanceRef BU536))
      (portRef C (instanceRef BU539))
      (portRef C (instanceRef BU542))
      (portRef C (instanceRef BU545))
      (portRef C (instanceRef BU548))
      (portRef C (instanceRef BU551))
      (portRef C (instanceRef BU554))
    )
   )
   (net (rename N82 "ainit")
    (joined
      (portRef ainit)
      (portRef CLR (instanceRef BU33))
      (portRef CLR (instanceRef BU39))
      (portRef CLR (instanceRef BU45))
      (portRef CLR (instanceRef BU51))
      (portRef CLR (instanceRef BU57))
      (portRef CLR (instanceRef BU63))
      (portRef CLR (instanceRef BU69))
      (portRef CLR (instanceRef BU75))
      (portRef CLR (instanceRef BU80))
      (portRef CLR (instanceRef BU89))
      (portRef CLR (instanceRef BU96))
      (portRef CLR (instanceRef BU103))
      (portRef CLR (instanceRef BU110))
      (portRef CLR (instanceRef BU117))
      (portRef CLR (instanceRef BU124))
      (portRef CLR (instanceRef BU131))
      (portRef CLR (instanceRef BU138))
      (portRef PRE (instanceRef BU145))
      (portRef PRE (instanceRef BU151))
      (portRef CLR (instanceRef BU154))
      (portRef CLR (instanceRef BU160))
      (portRef CLR (instanceRef BU163))
      (portRef CLR (instanceRef BU166))
      (portRef CLR (instanceRef BU169))
      (portRef CLR (instanceRef BU172))
      (portRef CLR (instanceRef BU175))
      (portRef PRE (instanceRef BU178))
      (portRef PRE (instanceRef BU184))
      (portRef PRE (instanceRef BU189))
      (portRef PRE (instanceRef BU191))
      (portRef PRE (instanceRef BU193))
      (portRef PRE (instanceRef BU195))
      (portRef PRE (instanceRef BU197))
      (portRef PRE (instanceRef BU199))
      (portRef PRE (instanceRef BU201))
      (portRef PRE (instanceRef BU203))
      (portRef PRE (instanceRef BU205))
      (portRef CLR (instanceRef BU209))
      (portRef CLR (instanceRef BU211))
      (portRef CLR (instanceRef BU213))
      (portRef CLR (instanceRef BU215))
      (portRef CLR (instanceRef BU217))
      (portRef CLR (instanceRef BU219))
      (portRef CLR (instanceRef BU221))
      (portRef CLR (instanceRef BU223))
      (portRef PRE (instanceRef BU225))
      (portRef PRE (instanceRef BU289))
      (portRef PRE (instanceRef BU291))
      (portRef PRE (instanceRef BU293))
      (portRef PRE (instanceRef BU295))
      (portRef PRE (instanceRef BU297))
      (portRef PRE (instanceRef BU299))
      (portRef PRE (instanceRef BU301))
      (portRef PRE (instanceRef BU303))
      (portRef PRE (instanceRef BU305))
      (portRef CLR (instanceRef BU314))
      (portRef CLR (instanceRef BU320))
      (portRef CLR (instanceRef BU326))
      (portRef CLR (instanceRef BU332))
      (portRef CLR (instanceRef BU338))
      (portRef CLR (instanceRef BU344))
      (portRef CLR (instanceRef BU350))
      (portRef CLR (instanceRef BU356))
      (portRef CLR (instanceRef BU362))
      (portRef CLR (instanceRef BU389))
      (portRef CLR (instanceRef BU395))
      (portRef CLR (instanceRef BU401))
      (portRef CLR (instanceRef BU407))
      (portRef CLR (instanceRef BU413))
      (portRef CLR (instanceRef BU419))
      (portRef CLR (instanceRef BU425))
      (portRef CLR (instanceRef BU431))
      (portRef CLR (instanceRef BU436))
      (portRef CLR (instanceRef BU445))
      (portRef CLR (instanceRef BU452))
      (portRef CLR (instanceRef BU459))
      (portRef CLR (instanceRef BU466))
      (portRef CLR (instanceRef BU473))
      (portRef CLR (instanceRef BU480))
      (portRef CLR (instanceRef BU487))
      (portRef CLR (instanceRef BU494))
      (portRef PRE (instanceRef BU501))
      (portRef PRE (instanceRef BU506))
      (portRef CLR (instanceRef BU508))
      (portRef CLR (instanceRef BU510))
      (portRef CLR (instanceRef BU512))
      (portRef CLR (instanceRef BU514))
      (portRef CLR (instanceRef BU516))
      (portRef CLR (instanceRef BU518))
      (portRef CLR (instanceRef BU520))
      (portRef PRE (instanceRef BU522))
      (portRef PRE (instanceRef BU527))
      (portRef PRE (instanceRef BU530))
      (portRef CLR (instanceRef BU536))
      (portRef CLR (instanceRef BU539))
      (portRef CLR (instanceRef BU542))
      (portRef CLR (instanceRef BU545))
      (portRef CLR (instanceRef BU548))
      (portRef CLR (instanceRef BU551))
      (portRef PRE (instanceRef BU554))
      (portRef PRE (instanceRef BU560))
      (portRef PRE (instanceRef BU565))
      (portRef PRE (instanceRef BU567))
      (portRef PRE (instanceRef BU569))
      (portRef PRE (instanceRef BU571))
      (portRef PRE (instanceRef BU573))
      (portRef PRE (instanceRef BU575))
      (portRef PRE (instanceRef BU577))
      (portRef PRE (instanceRef BU579))
      (portRef PRE (instanceRef BU581))
      (portRef CLR (instanceRef BU585))
      (portRef CLR (instanceRef BU587))
      (portRef CLR (instanceRef BU589))
      (portRef CLR (instanceRef BU591))
      (portRef CLR (instanceRef BU593))
      (portRef CLR (instanceRef BU595))
      (portRef CLR (instanceRef BU597))
      (portRef CLR (instanceRef BU599))
      (portRef PRE (instanceRef BU601))
      (portRef PRE (instanceRef BU665))
      (portRef PRE (instanceRef BU667))
      (portRef PRE (instanceRef BU669))
      (portRef PRE (instanceRef BU671))
      (portRef PRE (instanceRef BU673))
      (portRef PRE (instanceRef BU675))
      (portRef PRE (instanceRef BU677))
      (portRef PRE (instanceRef BU679))
      (portRef PRE (instanceRef BU681))
      (portRef CLR (instanceRef BU691))
      (portRef CLR (instanceRef BU697))
      (portRef CLR (instanceRef BU703))
      (portRef CLR (instanceRef BU709))
      (portRef CLR (instanceRef BU715))
      (portRef CLR (instanceRef BU721))
      (portRef CLR (instanceRef BU727))
      (portRef CLR (instanceRef BU733))
      (portRef CLR (instanceRef BU739))
    )
   )
   (net (rename N83 "dout<31>")
    (joined
      (portRef (member dout 0))
      (portRef DOB_31_ (instanceRef B7))
    )
   )
   (net (rename N84 "dout<30>")
    (joined
      (portRef (member dout 1))
      (portRef DOB_30_ (instanceRef B7))
    )
   )
   (net (rename N85 "dout<29>")
    (joined
      (portRef (member dout 2))
      (portRef DOB_29_ (instanceRef B7))
    )
   )
   (net (rename N86 "dout<28>")
    (joined
      (portRef (member dout 3))
      (portRef DOB_28_ (instanceRef B7))
    )
   )
   (net (rename N87 "dout<27>")
    (joined
      (portRef (member dout 4))
      (portRef DOB_27_ (instanceRef B7))
    )
   )
   (net (rename N88 "dout<26>")
    (joined
      (portRef (member dout 5))
      (portRef DOB_26_ (instanceRef B7))
    )
   )
   (net (rename N89 "dout<25>")
    (joined
      (portRef (member dout 6))
      (portRef DOB_25_ (instanceRef B7))
    )
   )
   (net (rename N90 "dout<24>")
    (joined
      (portRef (member dout 7))
      (portRef DOB_24_ (instanceRef B7))
    )
   )
   (net (rename N91 "dout<23>")
    (joined
      (portRef (member dout 8))
      (portRef DOB_23_ (instanceRef B7))
    )
   )
   (net (rename N92 "dout<22>")
    (joined
      (portRef (member dout 9))
      (portRef DOB_22_ (instanceRef B7))
    )
   )
   (net (rename N93 "dout<21>")
    (joined
      (portRef (member dout 10))
      (portRef DOB_21_ (instanceRef B7))
    )
   )
   (net (rename N94 "dout<20>")
    (joined
      (portRef (member dout 11))
      (portRef DOB_20_ (instanceRef B7))
    )
   )
   (net (rename N95 "dout<19>")
    (joined
      (portRef (member dout 12))
      (portRef DOB_19_ (instanceRef B7))
    )
   )
   (net (rename N96 "dout<18>")
    (joined
      (portRef (member dout 13))
      (portRef DOB_18_ (instanceRef B7))
    )
   )
   (net (rename N97 "dout<17>")
    (joined
      (portRef (member dout 14))
      (portRef DOB_17_ (instanceRef B7))
    )
   )
   (net (rename N98 "dout<16>")
    (joined
      (portRef (member dout 15))
      (portRef DOB_16_ (instanceRef B7))
    )
   )
   (net (rename N99 "dout<15>")
    (joined
      (portRef (member dout 16))
      (portRef DOB_15_ (instanceRef B7))
    )
   )
   (net (rename N100 "dout<14>")
    (joined
      (portRef (member dout 17))
      (portRef DOB_14_ (instanceRef B7))
    )
   )
   (net (rename N101 "dout<13>")
    (joined
      (portRef (member dout 18))
      (portRef DOB_13_ (instanceRef B7))
    )
   )
   (net (rename N102 "dout<12>")
    (joined
      (portRef (member dout 19))
      (portRef DOB_12_ (instanceRef B7))
    )
   )
   (net (rename N103 "dout<11>")
    (joined
      (portRef (member dout 20))
      (portRef DOB_11_ (instanceRef B7))
    )
   )
   (net (rename N104 "dout<10>")
    (joined
      (portRef (member dout 21))
      (portRef DOB_10_ (instanceRef B7))
    )
   )
   (net (rename N105 "dout<9>")
    (joined
      (portRef (member dout 22))
      (portRef DOB_9_ (instanceRef B7))
    )
   )
   (net (rename N106 "dout<8>")
    (joined
      (portRef (member dout 23))
      (portRef DOB_8_ (instanceRef B7))
    )
   )
   (net (rename N107 "dout<7>")
    (joined
      (portRef (member dout 24))
      (portRef DOB_7_ (instanceRef B7))
    )
   )
   (net (rename N108 "dout<6>")
    (joined
      (portRef (member dout 25))
      (portRef DOB_6_ (instanceRef B7))
    )
   )
   (net (rename N109 "dout<5>")
    (joined
      (portRef (member dout 26))
      (portRef DOB_5_ (instanceRef B7))
    )
   )
   (net (rename N110 "dout<4>")
    (joined
      (portRef (member dout 27))
      (portRef DOB_4_ (instanceRef B7))
    )
   )
   (net (rename N111 "dout<3>")
    (joined
      (portRef (member dout 28))
      (portRef DOB_3_ (instanceRef B7))
    )
   )
   (net (rename N112 "dout<2>")
    (joined
      (portRef (member dout 29))
      (portRef DOB_2_ (instanceRef B7))
    )
   )
   (net (rename N113 "dout<1>")
    (joined
      (portRef (member dout 30))
      (portRef DOB_1_ (instanceRef B7))
    )
   )
   (net (rename N114 "dout<0>")
    (joined
      (portRef (member dout 31))
      (portRef DOB_0_ (instanceRef B7))
    )
   )
   (net (rename N115 "full")
    (joined
      (portRef full)
      (portRef I1 (instanceRef BU372))
      (portRef I1 (instanceRef BU378))
      (portRef I1 (instanceRef BU525))
      (portRef I3 (instanceRef BU531))
      (portRef I3 (instanceRef BU534))
      (portRef I3 (instanceRef BU537))
      (portRef I3 (instanceRef BU540))
      (portRef I3 (instanceRef BU543))
      (portRef I3 (instanceRef BU546))
      (portRef I3 (instanceRef BU549))
      (portRef I3 (instanceRef BU552))
      (portRef I3 (instanceRef BU555))
      (portRef Q (instanceRef BU560))
    )
   )
   (net (rename N116 "empty")
    (joined
      (portRef empty)
      (portRef I1 (instanceRef BU16))
      (portRef I1 (instanceRef BU22))
      (portRef I1 (instanceRef BU149))
      (portRef I3 (instanceRef BU155))
      (portRef I3 (instanceRef BU158))
      (portRef I3 (instanceRef BU161))
      (portRef I3 (instanceRef BU164))
      (portRef I3 (instanceRef BU167))
      (portRef I3 (instanceRef BU170))
      (portRef I3 (instanceRef BU173))
      (portRef I3 (instanceRef BU176))
      (portRef I3 (instanceRef BU179))
      (portRef Q (instanceRef BU184))
    )
   )
   (net N2783
    (joined
      (portRef O (instanceRef BU16))
      (portRef CE (instanceRef BU33))
      (portRef CE (instanceRef BU39))
      (portRef CE (instanceRef BU45))
      (portRef CE (instanceRef BU51))
      (portRef CE (instanceRef BU57))
      (portRef CE (instanceRef BU63))
      (portRef CE (instanceRef BU69))
      (portRef CE (instanceRef BU75))
      (portRef CE (instanceRef BU80))
      (portRef CE (instanceRef BU89))
      (portRef CE (instanceRef BU96))
      (portRef CE (instanceRef BU103))
      (portRef CE (instanceRef BU110))
      (portRef CE (instanceRef BU117))
      (portRef CE (instanceRef BU124))
      (portRef CE (instanceRef BU131))
      (portRef CE (instanceRef BU138))
      (portRef CE (instanceRef BU145))
      (portRef CE (instanceRef BU189))
      (portRef CE (instanceRef BU191))
      (portRef CE (instanceRef BU193))
      (portRef CE (instanceRef BU195))
      (portRef CE (instanceRef BU197))
      (portRef CE (instanceRef BU199))
      (portRef CE (instanceRef BU201))
      (portRef CE (instanceRef BU203))
      (portRef CE (instanceRef BU205))
      (portRef CE (instanceRef BU506))
      (portRef CE (instanceRef BU508))
      (portRef CE (instanceRef BU510))
      (portRef CE (instanceRef BU512))
      (portRef CE (instanceRef BU514))
      (portRef CE (instanceRef BU516))
      (portRef CE (instanceRef BU518))
      (portRef CE (instanceRef BU520))
      (portRef CE (instanceRef BU522))
      (portRef CE (instanceRef BU527))
      (portRef CE (instanceRef BU530))
      (portRef CE (instanceRef BU536))
      (portRef CE (instanceRef BU539))
      (portRef CE (instanceRef BU542))
      (portRef CE (instanceRef BU545))
      (portRef CE (instanceRef BU548))
      (portRef CE (instanceRef BU551))
      (portRef CE (instanceRef BU554))
    )
   )
   (net N2785
    (joined
      (portRef CE (instanceRef BU151))
      (portRef CE (instanceRef BU154))
      (portRef CE (instanceRef BU160))
      (portRef CE (instanceRef BU163))
      (portRef CE (instanceRef BU166))
      (portRef CE (instanceRef BU169))
      (portRef CE (instanceRef BU172))
      (portRef CE (instanceRef BU175))
      (portRef CE (instanceRef BU178))
      (portRef O (instanceRef BU372))
      (portRef CE (instanceRef BU389))
      (portRef CE (instanceRef BU395))
      (portRef CE (instanceRef BU401))
      (portRef CE (instanceRef BU407))
      (portRef CE (instanceRef BU413))
      (portRef CE (instanceRef BU419))
      (portRef CE (instanceRef BU425))
      (portRef CE (instanceRef BU431))
      (portRef CE (instanceRef BU436))
      (portRef CE (instanceRef BU445))
      (portRef CE (instanceRef BU452))
      (portRef CE (instanceRef BU459))
      (portRef CE (instanceRef BU466))
      (portRef CE (instanceRef BU473))
      (portRef CE (instanceRef BU480))
      (portRef CE (instanceRef BU487))
      (portRef CE (instanceRef BU494))
      (portRef CE (instanceRef BU501))
      (portRef CE (instanceRef BU565))
      (portRef CE (instanceRef BU567))
      (portRef CE (instanceRef BU569))
      (portRef CE (instanceRef BU571))
      (portRef CE (instanceRef BU573))
      (portRef CE (instanceRef BU575))
      (portRef CE (instanceRef BU577))
      (portRef CE (instanceRef BU579))
      (portRef CE (instanceRef BU581))
    )
   )
   (net N2807
    (joined
      (portRef Q (instanceRef BU205))
      (portRef I1 (instanceRef BU358))
    )
   )
   (net N2808
    (joined
      (portRef Q (instanceRef BU203))
      (portRef I1 (instanceRef BU352))
    )
   )
   (net N2809
    (joined
      (portRef Q (instanceRef BU201))
      (portRef I1 (instanceRef BU346))
    )
   )
   (net N2810
    (joined
      (portRef Q (instanceRef BU199))
      (portRef I1 (instanceRef BU340))
    )
   )
   (net N2811
    (joined
      (portRef Q (instanceRef BU197))
      (portRef I1 (instanceRef BU334))
    )
   )
   (net N2812
    (joined
      (portRef Q (instanceRef BU195))
      (portRef I1 (instanceRef BU328))
    )
   )
   (net N2813
    (joined
      (portRef Q (instanceRef BU193))
      (portRef I1 (instanceRef BU322))
    )
   )
   (net N2814
    (joined
      (portRef Q (instanceRef BU191))
      (portRef I1 (instanceRef BU316))
    )
   )
   (net N2815
    (joined
      (portRef Q (instanceRef BU189))
      (portRef I1 (instanceRef BU310))
    )
   )
   (net N2816
    (joined
      (portRef Q (instanceRef BU145))
      (portRef I0 (instanceRef BU179))
      (portRef D (instanceRef BU522))
      (portRef D (instanceRef BU601))
    )
   )
   (net N2817
    (joined
      (portRef Q (instanceRef BU138))
      (portRef I0 (instanceRef BU176))
      (portRef D (instanceRef BU520))
      (portRef D (instanceRef BU599))
    )
   )
   (net N2818
    (joined
      (portRef Q (instanceRef BU131))
      (portRef I0 (instanceRef BU173))
      (portRef D (instanceRef BU518))
      (portRef D (instanceRef BU597))
    )
   )
   (net N2819
    (joined
      (portRef Q (instanceRef BU124))
      (portRef I0 (instanceRef BU170))
      (portRef D (instanceRef BU516))
      (portRef D (instanceRef BU595))
    )
   )
   (net N2820
    (joined
      (portRef Q (instanceRef BU117))
      (portRef I0 (instanceRef BU167))
      (portRef D (instanceRef BU514))
      (portRef D (instanceRef BU593))
    )
   )
   (net N2821
    (joined
      (portRef Q (instanceRef BU110))
      (portRef I0 (instanceRef BU164))
      (portRef D (instanceRef BU512))
      (portRef D (instanceRef BU591))
    )
   )
   (net N2822
    (joined
      (portRef Q (instanceRef BU103))
      (portRef I0 (instanceRef BU161))
      (portRef D (instanceRef BU510))
      (portRef D (instanceRef BU589))
    )
   )
   (net N2823
    (joined
      (portRef Q (instanceRef BU96))
      (portRef I0 (instanceRef BU158))
      (portRef D (instanceRef BU508))
      (portRef D (instanceRef BU587))
    )
   )
   (net N2824
    (joined
      (portRef Q (instanceRef BU89))
      (portRef I0 (instanceRef BU155))
      (portRef D (instanceRef BU506))
      (portRef D (instanceRef BU585))
    )
   )
   (net N2834
    (joined
      (portRef Q (instanceRef BU522))
      (portRef D (instanceRef BU554))
      (portRef I1 (instanceRef BU555))
    )
   )
   (net N2835
    (joined
      (portRef Q (instanceRef BU520))
      (portRef D (instanceRef BU551))
      (portRef I1 (instanceRef BU552))
    )
   )
   (net N2836
    (joined
      (portRef Q (instanceRef BU518))
      (portRef D (instanceRef BU548))
      (portRef I1 (instanceRef BU549))
    )
   )
   (net N2837
    (joined
      (portRef Q (instanceRef BU516))
      (portRef D (instanceRef BU545))
      (portRef I1 (instanceRef BU546))
    )
   )
   (net N2838
    (joined
      (portRef Q (instanceRef BU514))
      (portRef D (instanceRef BU542))
      (portRef I1 (instanceRef BU543))
    )
   )
   (net N2839
    (joined
      (portRef Q (instanceRef BU512))
      (portRef D (instanceRef BU539))
      (portRef I1 (instanceRef BU540))
    )
   )
   (net N2840
    (joined
      (portRef Q (instanceRef BU510))
      (portRef D (instanceRef BU536))
      (portRef I1 (instanceRef BU537))
    )
   )
   (net N2841
    (joined
      (portRef Q (instanceRef BU508))
      (portRef D (instanceRef BU530))
      (portRef I1 (instanceRef BU534))
    )
   )
   (net N2842
    (joined
      (portRef Q (instanceRef BU506))
      (portRef D (instanceRef BU527))
      (portRef I1 (instanceRef BU531))
    )
   )
   (net N2843
    (joined
      (portRef Q (instanceRef BU554))
      (portRef I2 (instanceRef BU555))
    )
   )
   (net N2844
    (joined
      (portRef Q (instanceRef BU551))
      (portRef I2 (instanceRef BU552))
    )
   )
   (net N2845
    (joined
      (portRef Q (instanceRef BU548))
      (portRef I2 (instanceRef BU549))
    )
   )
   (net N2846
    (joined
      (portRef Q (instanceRef BU545))
      (portRef I2 (instanceRef BU546))
    )
   )
   (net N2847
    (joined
      (portRef Q (instanceRef BU542))
      (portRef I2 (instanceRef BU543))
    )
   )
   (net N2848
    (joined
      (portRef Q (instanceRef BU539))
      (portRef I2 (instanceRef BU540))
    )
   )
   (net N2849
    (joined
      (portRef Q (instanceRef BU536))
      (portRef I2 (instanceRef BU537))
    )
   )
   (net N2850
    (joined
      (portRef Q (instanceRef BU530))
      (portRef I2 (instanceRef BU534))
    )
   )
   (net N2851
    (joined
      (portRef Q (instanceRef BU527))
      (portRef I2 (instanceRef BU531))
    )
   )
   (net N2852
    (joined
      (portRef Q (instanceRef BU601))
      (portRef I1 (instanceRef BU613))
      (portRef I2 (instanceRef BU619))
      (portRef I3 (instanceRef BU625))
      (portRef D (instanceRef BU681))
    )
   )
   (net N2853
    (joined
      (portRef Q (instanceRef BU599))
      (portRef I0 (instanceRef BU613))
      (portRef I1 (instanceRef BU619))
      (portRef I2 (instanceRef BU625))
    )
   )
   (net N2854
    (joined
      (portRef Q (instanceRef BU597))
      (portRef I0 (instanceRef BU619))
      (portRef I1 (instanceRef BU625))
    )
   )
   (net N2855
    (joined
      (portRef Q (instanceRef BU595))
      (portRef I0 (instanceRef BU625))
    )
   )
   (net N2856
    (joined
      (portRef Q (instanceRef BU593))
      (portRef I0 (instanceRef BU631))
      (portRef I0 (instanceRef BU637))
      (portRef I0 (instanceRef BU643))
      (portRef I3 (instanceRef BU649))
    )
   )
   (net N2857
    (joined
      (portRef Q (instanceRef BU591))
      (portRef I1 (instanceRef BU637))
      (portRef I1 (instanceRef BU643))
      (portRef I2 (instanceRef BU649))
    )
   )
   (net N2858
    (joined
      (portRef Q (instanceRef BU589))
      (portRef I2 (instanceRef BU643))
      (portRef I1 (instanceRef BU649))
    )
   )
   (net N2859
    (joined
      (portRef Q (instanceRef BU587))
      (portRef I0 (instanceRef BU649))
    )
   )
   (net N2860
    (joined
      (portRef Q (instanceRef BU585))
      (portRef I0 (instanceRef BU661))
    )
   )
   (net N2861
    (joined
      (portRef Q (instanceRef BU681))
      (portRef I1 (instanceRef BU735))
    )
   )
   (net N2862
    (joined
      (portRef Q (instanceRef BU679))
      (portRef I1 (instanceRef BU729))
    )
   )
   (net N2863
    (joined
      (portRef Q (instanceRef BU677))
      (portRef I1 (instanceRef BU723))
    )
   )
   (net N2864
    (joined
      (portRef Q (instanceRef BU675))
      (portRef I1 (instanceRef BU717))
    )
   )
   (net N2865
    (joined
      (portRef Q (instanceRef BU673))
      (portRef I1 (instanceRef BU711))
    )
   )
   (net N2866
    (joined
      (portRef Q (instanceRef BU671))
      (portRef I1 (instanceRef BU705))
    )
   )
   (net N2867
    (joined
      (portRef Q (instanceRef BU669))
      (portRef I1 (instanceRef BU699))
    )
   )
   (net N2868
    (joined
      (portRef Q (instanceRef BU667))
      (portRef I1 (instanceRef BU693))
    )
   )
   (net N2869
    (joined
      (portRef Q (instanceRef BU665))
      (portRef I1 (instanceRef BU687))
    )
   )
   (net N2879
    (joined
      (portRef Q (instanceRef BU581))
      (portRef DI (instanceRef BU736))
      (portRef I0 (instanceRef BU735))
    )
   )
   (net N2880
    (joined
      (portRef Q (instanceRef BU579))
      (portRef DI (instanceRef BU730))
      (portRef I0 (instanceRef BU729))
    )
   )
   (net N2881
    (joined
      (portRef Q (instanceRef BU577))
      (portRef DI (instanceRef BU724))
      (portRef I0 (instanceRef BU723))
    )
   )
   (net N2882
    (joined
      (portRef Q (instanceRef BU575))
      (portRef DI (instanceRef BU718))
      (portRef I0 (instanceRef BU717))
    )
   )
   (net N2883
    (joined
      (portRef Q (instanceRef BU573))
      (portRef DI (instanceRef BU712))
      (portRef I0 (instanceRef BU711))
    )
   )
   (net N2884
    (joined
      (portRef Q (instanceRef BU571))
      (portRef DI (instanceRef BU706))
      (portRef I0 (instanceRef BU705))
    )
   )
   (net N2885
    (joined
      (portRef Q (instanceRef BU569))
      (portRef DI (instanceRef BU700))
      (portRef I0 (instanceRef BU699))
    )
   )
   (net N2886
    (joined
      (portRef Q (instanceRef BU567))
      (portRef DI (instanceRef BU694))
      (portRef I0 (instanceRef BU693))
    )
   )
   (net N2887
    (joined
      (portRef Q (instanceRef BU565))
      (portRef DI (instanceRef BU688))
      (portRef I0 (instanceRef BU687))
    )
   )
   (net N2888
    (joined
      (portRef D (instanceRef BU178))
      (portRef I1 (instanceRef BU179))
      (portRef D (instanceRef BU225))
      (portRef Q (instanceRef BU501))
      (portRef I0 (instanceRef BU555))
    )
   )
   (net N2889
    (joined
      (portRef D (instanceRef BU175))
      (portRef I1 (instanceRef BU176))
      (portRef D (instanceRef BU223))
      (portRef Q (instanceRef BU494))
      (portRef I0 (instanceRef BU552))
    )
   )
   (net N2890
    (joined
      (portRef D (instanceRef BU172))
      (portRef I1 (instanceRef BU173))
      (portRef D (instanceRef BU221))
      (portRef Q (instanceRef BU487))
      (portRef I0 (instanceRef BU549))
    )
   )
   (net N2891
    (joined
      (portRef D (instanceRef BU169))
      (portRef I1 (instanceRef BU170))
      (portRef D (instanceRef BU219))
      (portRef Q (instanceRef BU480))
      (portRef I0 (instanceRef BU546))
    )
   )
   (net N2892
    (joined
      (portRef D (instanceRef BU166))
      (portRef I1 (instanceRef BU167))
      (portRef D (instanceRef BU217))
      (portRef Q (instanceRef BU473))
      (portRef I0 (instanceRef BU543))
    )
   )
   (net N2893
    (joined
      (portRef D (instanceRef BU163))
      (portRef I1 (instanceRef BU164))
      (portRef D (instanceRef BU215))
      (portRef Q (instanceRef BU466))
      (portRef I0 (instanceRef BU540))
    )
   )
   (net N2894
    (joined
      (portRef D (instanceRef BU160))
      (portRef I1 (instanceRef BU161))
      (portRef D (instanceRef BU213))
      (portRef Q (instanceRef BU459))
      (portRef I0 (instanceRef BU537))
    )
   )
   (net N2895
    (joined
      (portRef D (instanceRef BU154))
      (portRef I1 (instanceRef BU158))
      (portRef D (instanceRef BU211))
      (portRef Q (instanceRef BU452))
      (portRef I0 (instanceRef BU534))
    )
   )
   (net N2896
    (joined
      (portRef D (instanceRef BU151))
      (portRef I1 (instanceRef BU155))
      (portRef D (instanceRef BU209))
      (portRef Q (instanceRef BU445))
      (portRef I0 (instanceRef BU531))
    )
   )
   (net N2906
    (joined
      (portRef Q (instanceRef BU178))
      (portRef I2 (instanceRef BU179))
    )
   )
   (net N2907
    (joined
      (portRef Q (instanceRef BU175))
      (portRef I2 (instanceRef BU176))
    )
   )
   (net N2908
    (joined
      (portRef Q (instanceRef BU172))
      (portRef I2 (instanceRef BU173))
    )
   )
   (net N2909
    (joined
      (portRef Q (instanceRef BU169))
      (portRef I2 (instanceRef BU170))
    )
   )
   (net N2910
    (joined
      (portRef Q (instanceRef BU166))
      (portRef I2 (instanceRef BU167))
    )
   )
   (net N2911
    (joined
      (portRef Q (instanceRef BU163))
      (portRef I2 (instanceRef BU164))
    )
   )
   (net N2912
    (joined
      (portRef Q (instanceRef BU160))
      (portRef I2 (instanceRef BU161))
    )
   )
   (net N2913
    (joined
      (portRef Q (instanceRef BU154))
      (portRef I2 (instanceRef BU158))
    )
   )
   (net N2914
    (joined
      (portRef Q (instanceRef BU151))
      (portRef I2 (instanceRef BU155))
    )
   )
   (net N2915
    (joined
      (portRef Q (instanceRef BU225))
      (portRef I1 (instanceRef BU237))
      (portRef I2 (instanceRef BU243))
      (portRef I3 (instanceRef BU249))
      (portRef D (instanceRef BU305))
    )
   )
   (net N2916
    (joined
      (portRef Q (instanceRef BU223))
      (portRef I0 (instanceRef BU237))
      (portRef I1 (instanceRef BU243))
      (portRef I2 (instanceRef BU249))
    )
   )
   (net N2917
    (joined
      (portRef Q (instanceRef BU221))
      (portRef I0 (instanceRef BU243))
      (portRef I1 (instanceRef BU249))
    )
   )
   (net N2918
    (joined
      (portRef Q (instanceRef BU219))
      (portRef I0 (instanceRef BU249))
    )
   )
   (net N2919
    (joined
      (portRef Q (instanceRef BU217))
      (portRef I0 (instanceRef BU255))
      (portRef I0 (instanceRef BU261))
      (portRef I0 (instanceRef BU267))
      (portRef I3 (instanceRef BU273))
    )
   )
   (net N2920
    (joined
      (portRef Q (instanceRef BU215))
      (portRef I1 (instanceRef BU261))
      (portRef I1 (instanceRef BU267))
      (portRef I2 (instanceRef BU273))
    )
   )
   (net N2921
    (joined
      (portRef Q (instanceRef BU213))
      (portRef I2 (instanceRef BU267))
      (portRef I1 (instanceRef BU273))
    )
   )
   (net N2922
    (joined
      (portRef Q (instanceRef BU211))
      (portRef I0 (instanceRef BU273))
    )
   )
   (net N2923
    (joined
      (portRef Q (instanceRef BU209))
      (portRef I0 (instanceRef BU285))
    )
   )
   (net N2924
    (joined
      (portRef Q (instanceRef BU305))
      (portRef DI (instanceRef BU359))
      (portRef I0 (instanceRef BU358))
    )
   )
   (net N2925
    (joined
      (portRef Q (instanceRef BU303))
      (portRef DI (instanceRef BU353))
      (portRef I0 (instanceRef BU352))
    )
   )
   (net N2926
    (joined
      (portRef Q (instanceRef BU301))
      (portRef DI (instanceRef BU347))
      (portRef I0 (instanceRef BU346))
    )
   )
   (net N2927
    (joined
      (portRef Q (instanceRef BU299))
      (portRef DI (instanceRef BU341))
      (portRef I0 (instanceRef BU340))
    )
   )
   (net N2928
    (joined
      (portRef Q (instanceRef BU297))
      (portRef DI (instanceRef BU335))
      (portRef I0 (instanceRef BU334))
    )
   )
   (net N2929
    (joined
      (portRef Q (instanceRef BU295))
      (portRef DI (instanceRef BU329))
      (portRef I0 (instanceRef BU328))
    )
   )
   (net N2930
    (joined
      (portRef Q (instanceRef BU293))
      (portRef DI (instanceRef BU323))
      (portRef I0 (instanceRef BU322))
    )
   )
   (net N2931
    (joined
      (portRef Q (instanceRef BU291))
      (portRef DI (instanceRef BU317))
      (portRef I0 (instanceRef BU316))
    )
   )
   (net N2932
    (joined
      (portRef Q (instanceRef BU289))
      (portRef DI (instanceRef BU311))
      (portRef I0 (instanceRef BU310))
    )
   )
   (net N3178
    (joined
      (portRef O (instanceRef BU31))
      (portRef D (instanceRef BU33))
    )
   )
   (net N3179
    (joined
      (portRef O (instanceRef BU37))
      (portRef D (instanceRef BU39))
    )
   )
   (net N3180
    (joined
      (portRef O (instanceRef BU43))
      (portRef D (instanceRef BU45))
    )
   )
   (net N3181
    (joined
      (portRef O (instanceRef BU49))
      (portRef D (instanceRef BU51))
    )
   )
   (net N3182
    (joined
      (portRef O (instanceRef BU55))
      (portRef D (instanceRef BU57))
    )
   )
   (net N3183
    (joined
      (portRef O (instanceRef BU61))
      (portRef D (instanceRef BU63))
    )
   )
   (net N3184
    (joined
      (portRef O (instanceRef BU67))
      (portRef D (instanceRef BU69))
    )
   )
   (net N3185
    (joined
      (portRef O (instanceRef BU73))
      (portRef D (instanceRef BU75))
    )
   )
   (net N3186
    (joined
      (portRef O (instanceRef BU78))
      (portRef D (instanceRef BU80))
    )
   )
   (net N3187
    (joined
      (portRef S (instanceRef BU30))
      (portRef LI (instanceRef BU31))
      (portRef O (instanceRef BU29))
    )
   )
   (net N3189
    (joined
      (portRef O (instanceRef BU30))
      (portRef CI (instanceRef BU36))
      (portRef CI (instanceRef BU37))
    )
   )
   (net N3192
    (joined
      (portRef S (instanceRef BU36))
      (portRef LI (instanceRef BU37))
      (portRef O (instanceRef BU35))
    )
   )
   (net N3194
    (joined
      (portRef O (instanceRef BU36))
      (portRef CI (instanceRef BU42))
      (portRef CI (instanceRef BU43))
    )
   )
   (net N3197
    (joined
      (portRef S (instanceRef BU42))
      (portRef LI (instanceRef BU43))
      (portRef O (instanceRef BU41))
    )
   )
   (net N3199
    (joined
      (portRef O (instanceRef BU42))
      (portRef CI (instanceRef BU48))
      (portRef CI (instanceRef BU49))
    )
   )
   (net N3202
    (joined
      (portRef S (instanceRef BU48))
      (portRef LI (instanceRef BU49))
      (portRef O (instanceRef BU47))
    )
   )
   (net N3204
    (joined
      (portRef O (instanceRef BU48))
      (portRef CI (instanceRef BU54))
      (portRef CI (instanceRef BU55))
    )
   )
   (net N3207
    (joined
      (portRef S (instanceRef BU54))
      (portRef LI (instanceRef BU55))
      (portRef O (instanceRef BU53))
    )
   )
   (net N3209
    (joined
      (portRef O (instanceRef BU54))
      (portRef CI (instanceRef BU60))
      (portRef CI (instanceRef BU61))
    )
   )
   (net N3212
    (joined
      (portRef S (instanceRef BU60))
      (portRef LI (instanceRef BU61))
      (portRef O (instanceRef BU59))
    )
   )
   (net N3214
    (joined
      (portRef O (instanceRef BU60))
      (portRef CI (instanceRef BU66))
      (portRef CI (instanceRef BU67))
    )
   )
   (net N3217
    (joined
      (portRef S (instanceRef BU66))
      (portRef LI (instanceRef BU67))
      (portRef O (instanceRef BU65))
    )
   )
   (net N3219
    (joined
      (portRef O (instanceRef BU66))
      (portRef CI (instanceRef BU72))
      (portRef CI (instanceRef BU73))
    )
   )
   (net N3222
    (joined
      (portRef S (instanceRef BU72))
      (portRef LI (instanceRef BU73))
      (portRef O (instanceRef BU71))
    )
   )
   (net N3224
    (joined
      (portRef O (instanceRef BU72))
      (portRef CI (instanceRef BU78))
    )
   )
   (net N3227
    (joined
      (portRef LI (instanceRef BU78))
      (portRef O (instanceRef BU77))
    )
   )
   (net N3573
    (joined
      (portRef D (instanceRef BU89))
      (portRef O (instanceRef BU88))
    )
   )
   (net N3613
    (joined
      (portRef D (instanceRef BU96))
      (portRef O (instanceRef BU95))
    )
   )
   (net N3653
    (joined
      (portRef D (instanceRef BU103))
      (portRef O (instanceRef BU102))
    )
   )
   (net N3693
    (joined
      (portRef D (instanceRef BU110))
      (portRef O (instanceRef BU109))
    )
   )
   (net N3733
    (joined
      (portRef D (instanceRef BU117))
      (portRef O (instanceRef BU116))
    )
   )
   (net N3773
    (joined
      (portRef D (instanceRef BU124))
      (portRef O (instanceRef BU123))
    )
   )
   (net N3813
    (joined
      (portRef D (instanceRef BU131))
      (portRef O (instanceRef BU130))
    )
   )
   (net N3853
    (joined
      (portRef D (instanceRef BU138))
      (portRef O (instanceRef BU137))
    )
   )
   (net N3893
    (joined
      (portRef D (instanceRef BU145))
      (portRef O (instanceRef BU144))
    )
   )
   (net N3917
    (joined
      (portRef O (instanceRef BU179))
      (portRef S (instanceRef BU180))
    )
   )
   (net N3918
    (joined
      (portRef O (instanceRef BU176))
      (portRef S (instanceRef BU177))
    )
   )
   (net N3919
    (joined
      (portRef O (instanceRef BU173))
      (portRef S (instanceRef BU174))
    )
   )
   (net N3920
    (joined
      (portRef O (instanceRef BU170))
      (portRef S (instanceRef BU171))
    )
   )
   (net N3921
    (joined
      (portRef O (instanceRef BU167))
      (portRef S (instanceRef BU168))
    )
   )
   (net N3922
    (joined
      (portRef O (instanceRef BU164))
      (portRef S (instanceRef BU165))
    )
   )
   (net N3923
    (joined
      (portRef O (instanceRef BU161))
      (portRef S (instanceRef BU162))
    )
   )
   (net N3924
    (joined
      (portRef O (instanceRef BU158))
      (portRef S (instanceRef BU159))
    )
   )
   (net N3925
    (joined
      (portRef O (instanceRef BU155))
      (portRef S (instanceRef BU156))
    )
   )
   (net N3926
    (joined
      (portRef LO (instanceRef BU180))
      (portRef CI (instanceRef BU183))
    )
   )
   (net N3927
    (joined
      (portRef O (instanceRef BU177))
      (portRef CI (instanceRef BU180))
    )
   )
   (net N3928
    (joined
      (portRef O (instanceRef BU174))
      (portRef CI (instanceRef BU177))
    )
   )
   (net N3929
    (joined
      (portRef O (instanceRef BU171))
      (portRef CI (instanceRef BU174))
    )
   )
   (net N3930
    (joined
      (portRef O (instanceRef BU168))
      (portRef CI (instanceRef BU171))
    )
   )
   (net N3931
    (joined
      (portRef O (instanceRef BU165))
      (portRef CI (instanceRef BU168))
    )
   )
   (net N3932
    (joined
      (portRef O (instanceRef BU162))
      (portRef CI (instanceRef BU165))
    )
   )
   (net N3933
    (joined
      (portRef O (instanceRef BU159))
      (portRef CI (instanceRef BU162))
    )
   )
   (net N3934
    (joined
      (portRef O (instanceRef BU156))
      (portRef CI (instanceRef BU159))
    )
   )
   (net N3936
    (joined
      (portRef O (instanceRef BU149))
      (portRef CE (instanceRef BU184))
    )
   )
   (net N3937
    (joined
      (portRef O (instanceRef BU183))
      (portRef D (instanceRef BU184))
    )
   )
   (net N3938
    (joined
      (portRef O (instanceRef BU152))
      (portRef S (instanceRef BU153))
    )
   )
   (net N4315
    (joined
      (portRef I0 (instanceRef BU279))
      (portRef I1 (instanceRef BU285))
      (portRef O (instanceRef BU273))
    )
   )
   (net N4316
    (joined
      (portRef O (instanceRef BU249))
      (portRef I1 (instanceRef BU255))
      (portRef I2 (instanceRef BU261))
      (portRef I3 (instanceRef BU267))
      (portRef I1 (instanceRef BU279))
      (portRef I2 (instanceRef BU285))
      (portRef D (instanceRef BU299))
    )
   )
   (net N4326
    (joined
      (portRef O (instanceRef BU285))
      (portRef D (instanceRef BU289))
    )
   )
   (net N4327
    (joined
      (portRef O (instanceRef BU279))
      (portRef D (instanceRef BU291))
    )
   )
   (net N4328
    (joined
      (portRef O (instanceRef BU267))
      (portRef D (instanceRef BU293))
    )
   )
   (net N4329
    (joined
      (portRef O (instanceRef BU261))
      (portRef D (instanceRef BU295))
    )
   )
   (net N4330
    (joined
      (portRef O (instanceRef BU255))
      (portRef D (instanceRef BU297))
    )
   )
   (net N4332
    (joined
      (portRef O (instanceRef BU243))
      (portRef D (instanceRef BU301))
    )
   )
   (net N4333
    (joined
      (portRef O (instanceRef BU237))
      (portRef D (instanceRef BU303))
    )
   )
   (net N5200
    (joined
      (portRef O (instanceRef BU312))
      (portRef D (instanceRef BU314))
    )
   )
   (net N5201
    (joined
      (portRef O (instanceRef BU318))
      (portRef D (instanceRef BU320))
    )
   )
   (net N5202
    (joined
      (portRef O (instanceRef BU324))
      (portRef D (instanceRef BU326))
    )
   )
   (net N5203
    (joined
      (portRef O (instanceRef BU330))
      (portRef D (instanceRef BU332))
    )
   )
   (net N5204
    (joined
      (portRef O (instanceRef BU336))
      (portRef D (instanceRef BU338))
    )
   )
   (net N5205
    (joined
      (portRef O (instanceRef BU342))
      (portRef D (instanceRef BU344))
    )
   )
   (net N5206
    (joined
      (portRef O (instanceRef BU348))
      (portRef D (instanceRef BU350))
    )
   )
   (net N5207
    (joined
      (portRef O (instanceRef BU354))
      (portRef D (instanceRef BU356))
    )
   )
   (net N5208
    (joined
      (portRef O (instanceRef BU360))
      (portRef D (instanceRef BU362))
    )
   )
   (net N5209
    (joined
      (portRef S (instanceRef BU311))
      (portRef LI (instanceRef BU312))
      (portRef O (instanceRef BU310))
    )
   )
   (net N5212
    (joined
      (portRef O (instanceRef BU311))
      (portRef CI (instanceRef BU317))
      (portRef CI (instanceRef BU318))
    )
   )
   (net N5215
    (joined
      (portRef S (instanceRef BU317))
      (portRef LI (instanceRef BU318))
      (portRef O (instanceRef BU316))
    )
   )
   (net N5218
    (joined
      (portRef O (instanceRef BU317))
      (portRef CI (instanceRef BU323))
      (portRef CI (instanceRef BU324))
    )
   )
   (net N5221
    (joined
      (portRef S (instanceRef BU323))
      (portRef LI (instanceRef BU324))
      (portRef O (instanceRef BU322))
    )
   )
   (net N5224
    (joined
      (portRef O (instanceRef BU323))
      (portRef CI (instanceRef BU329))
      (portRef CI (instanceRef BU330))
    )
   )
   (net N5227
    (joined
      (portRef S (instanceRef BU329))
      (portRef LI (instanceRef BU330))
      (portRef O (instanceRef BU328))
    )
   )
   (net N5230
    (joined
      (portRef O (instanceRef BU329))
      (portRef CI (instanceRef BU335))
      (portRef CI (instanceRef BU336))
    )
   )
   (net N5233
    (joined
      (portRef S (instanceRef BU335))
      (portRef LI (instanceRef BU336))
      (portRef O (instanceRef BU334))
    )
   )
   (net N5236
    (joined
      (portRef O (instanceRef BU335))
      (portRef CI (instanceRef BU341))
      (portRef CI (instanceRef BU342))
    )
   )
   (net N5239
    (joined
      (portRef S (instanceRef BU341))
      (portRef LI (instanceRef BU342))
      (portRef O (instanceRef BU340))
    )
   )
   (net N5242
    (joined
      (portRef O (instanceRef BU341))
      (portRef CI (instanceRef BU347))
      (portRef CI (instanceRef BU348))
    )
   )
   (net N5245
    (joined
      (portRef S (instanceRef BU347))
      (portRef LI (instanceRef BU348))
      (portRef O (instanceRef BU346))
    )
   )
   (net N5248
    (joined
      (portRef O (instanceRef BU347))
      (portRef CI (instanceRef BU353))
      (portRef CI (instanceRef BU354))
    )
   )
   (net N5251
    (joined
      (portRef S (instanceRef BU353))
      (portRef LI (instanceRef BU354))
      (portRef O (instanceRef BU352))
    )
   )
   (net N5254
    (joined
      (portRef O (instanceRef BU353))
      (portRef CI (instanceRef BU359))
      (portRef CI (instanceRef BU360))
    )
   )
   (net N5257
    (joined
      (portRef S (instanceRef BU359))
      (portRef LI (instanceRef BU360))
      (portRef O (instanceRef BU358))
    )
   )
   (net N5521
    (joined
      (portRef O (instanceRef BU387))
      (portRef D (instanceRef BU389))
    )
   )
   (net N5522
    (joined
      (portRef O (instanceRef BU393))
      (portRef D (instanceRef BU395))
    )
   )
   (net N5523
    (joined
      (portRef O (instanceRef BU399))
      (portRef D (instanceRef BU401))
    )
   )
   (net N5524
    (joined
      (portRef O (instanceRef BU405))
      (portRef D (instanceRef BU407))
    )
   )
   (net N5525
    (joined
      (portRef O (instanceRef BU411))
      (portRef D (instanceRef BU413))
    )
   )
   (net N5526
    (joined
      (portRef O (instanceRef BU417))
      (portRef D (instanceRef BU419))
    )
   )
   (net N5527
    (joined
      (portRef O (instanceRef BU423))
      (portRef D (instanceRef BU425))
    )
   )
   (net N5528
    (joined
      (portRef O (instanceRef BU429))
      (portRef D (instanceRef BU431))
    )
   )
   (net N5529
    (joined
      (portRef O (instanceRef BU434))
      (portRef D (instanceRef BU436))
    )
   )
   (net N5530
    (joined
      (portRef S (instanceRef BU386))
      (portRef LI (instanceRef BU387))
      (portRef O (instanceRef BU385))
    )
   )
   (net N5532
    (joined
      (portRef O (instanceRef BU386))
      (portRef CI (instanceRef BU392))
      (portRef CI (instanceRef BU393))
    )
   )
   (net N5535
    (joined
      (portRef S (instanceRef BU392))
      (portRef LI (instanceRef BU393))
      (portRef O (instanceRef BU391))
    )
   )
   (net N5537
    (joined
      (portRef O (instanceRef BU392))
      (portRef CI (instanceRef BU398))
      (portRef CI (instanceRef BU399))
    )
   )
   (net N5540
    (joined
      (portRef S (instanceRef BU398))
      (portRef LI (instanceRef BU399))
      (portRef O (instanceRef BU397))
    )
   )
   (net N5542
    (joined
      (portRef O (instanceRef BU398))
      (portRef CI (instanceRef BU404))
      (portRef CI (instanceRef BU405))
    )
   )
   (net N5545
    (joined
      (portRef S (instanceRef BU404))
      (portRef LI (instanceRef BU405))
      (portRef O (instanceRef BU403))
    )
   )
   (net N5547
    (joined
      (portRef O (instanceRef BU404))
      (portRef CI (instanceRef BU410))
      (portRef CI (instanceRef BU411))
    )
   )
   (net N5550
    (joined
      (portRef S (instanceRef BU410))
      (portRef LI (instanceRef BU411))
      (portRef O (instanceRef BU409))
    )
   )
   (net N5552
    (joined
      (portRef O (instanceRef BU410))
      (portRef CI (instanceRef BU416))
      (portRef CI (instanceRef BU417))
    )
   )
   (net N5555
    (joined
      (portRef S (instanceRef BU416))
      (portRef LI (instanceRef BU417))
      (portRef O (instanceRef BU415))
    )
   )
   (net N5557
    (joined
      (portRef O (instanceRef BU416))
      (portRef CI (instanceRef BU422))
      (portRef CI (instanceRef BU423))
    )
   )
   (net N5560
    (joined
      (portRef S (instanceRef BU422))
      (portRef LI (instanceRef BU423))
      (portRef O (instanceRef BU421))
    )
   )
   (net N5562
    (joined
      (portRef O (instanceRef BU422))
      (portRef CI (instanceRef BU428))
      (portRef CI (instanceRef BU429))
    )
   )
   (net N5565
    (joined
      (portRef S (instanceRef BU428))
      (portRef LI (instanceRef BU429))
      (portRef O (instanceRef BU427))
    )
   )
   (net N5567
    (joined
      (portRef O (instanceRef BU428))
      (portRef CI (instanceRef BU434))
    )
   )
   (net N5570
    (joined
      (portRef LI (instanceRef BU434))
      (portRef O (instanceRef BU433))
    )
   )
   (net N5916
    (joined
      (portRef D (instanceRef BU445))
      (portRef O (instanceRef BU444))
    )
   )
   (net N5956
    (joined
      (portRef D (instanceRef BU452))
      (portRef O (instanceRef BU451))
    )
   )
   (net N5996
    (joined
      (portRef D (instanceRef BU459))
      (portRef O (instanceRef BU458))
    )
   )
   (net N6036
    (joined
      (portRef D (instanceRef BU466))
      (portRef O (instanceRef BU465))
    )
   )
   (net N6076
    (joined
      (portRef D (instanceRef BU473))
      (portRef O (instanceRef BU472))
    )
   )
   (net N6116
    (joined
      (portRef D (instanceRef BU480))
      (portRef O (instanceRef BU479))
    )
   )
   (net N6156
    (joined
      (portRef D (instanceRef BU487))
      (portRef O (instanceRef BU486))
    )
   )
   (net N6196
    (joined
      (portRef D (instanceRef BU494))
      (portRef O (instanceRef BU493))
    )
   )
   (net N6236
    (joined
      (portRef D (instanceRef BU501))
      (portRef O (instanceRef BU500))
    )
   )
   (net N6383
    (joined
      (portRef O (instanceRef BU555))
      (portRef S (instanceRef BU556))
    )
   )
   (net N6384
    (joined
      (portRef O (instanceRef BU552))
      (portRef S (instanceRef BU553))
    )
   )
   (net N6385
    (joined
      (portRef O (instanceRef BU549))
      (portRef S (instanceRef BU550))
    )
   )
   (net N6386
    (joined
      (portRef O (instanceRef BU546))
      (portRef S (instanceRef BU547))
    )
   )
   (net N6387
    (joined
      (portRef O (instanceRef BU543))
      (portRef S (instanceRef BU544))
    )
   )
   (net N6388
    (joined
      (portRef O (instanceRef BU540))
      (portRef S (instanceRef BU541))
    )
   )
   (net N6389
    (joined
      (portRef O (instanceRef BU537))
      (portRef S (instanceRef BU538))
    )
   )
   (net N6390
    (joined
      (portRef O (instanceRef BU534))
      (portRef S (instanceRef BU535))
    )
   )
   (net N6391
    (joined
      (portRef O (instanceRef BU531))
      (portRef S (instanceRef BU532))
    )
   )
   (net N6392
    (joined
      (portRef LO (instanceRef BU556))
      (portRef CI (instanceRef BU559))
    )
   )
   (net N6393
    (joined
      (portRef O (instanceRef BU553))
      (portRef CI (instanceRef BU556))
    )
   )
   (net N6394
    (joined
      (portRef O (instanceRef BU550))
      (portRef CI (instanceRef BU553))
    )
   )
   (net N6395
    (joined
      (portRef O (instanceRef BU547))
      (portRef CI (instanceRef BU550))
    )
   )
   (net N6396
    (joined
      (portRef O (instanceRef BU544))
      (portRef CI (instanceRef BU547))
    )
   )
   (net N6397
    (joined
      (portRef O (instanceRef BU541))
      (portRef CI (instanceRef BU544))
    )
   )
   (net N6398
    (joined
      (portRef O (instanceRef BU538))
      (portRef CI (instanceRef BU541))
    )
   )
   (net N6399
    (joined
      (portRef O (instanceRef BU535))
      (portRef CI (instanceRef BU538))
    )
   )
   (net N6400
    (joined
      (portRef O (instanceRef BU532))
      (portRef CI (instanceRef BU535))
    )
   )
   (net N6402
    (joined
      (portRef O (instanceRef BU525))
      (portRef CE (instanceRef BU560))
    )
   )
   (net N6403
    (joined
      (portRef O (instanceRef BU559))
      (portRef D (instanceRef BU560))
    )
   )
   (net N6404
    (joined
      (portRef O (instanceRef BU528))
      (portRef S (instanceRef BU529))
    )
   )
   (net N6781
    (joined
      (portRef I0 (instanceRef BU655))
      (portRef I1 (instanceRef BU661))
      (portRef O (instanceRef BU649))
    )
   )
   (net N6782
    (joined
      (portRef O (instanceRef BU625))
      (portRef I1 (instanceRef BU631))
      (portRef I2 (instanceRef BU637))
      (portRef I3 (instanceRef BU643))
      (portRef I1 (instanceRef BU655))
      (portRef I2 (instanceRef BU661))
      (portRef D (instanceRef BU675))
    )
   )
   (net N6792
    (joined
      (portRef O (instanceRef BU661))
      (portRef D (instanceRef BU665))
    )
   )
   (net N6793
    (joined
      (portRef O (instanceRef BU655))
      (portRef D (instanceRef BU667))
    )
   )
   (net N6794
    (joined
      (portRef O (instanceRef BU643))
      (portRef D (instanceRef BU669))
    )
   )
   (net N6795
    (joined
      (portRef O (instanceRef BU637))
      (portRef D (instanceRef BU671))
    )
   )
   (net N6796
    (joined
      (portRef O (instanceRef BU631))
      (portRef D (instanceRef BU673))
    )
   )
   (net N6798
    (joined
      (portRef O (instanceRef BU619))
      (portRef D (instanceRef BU677))
    )
   )
   (net N6799
    (joined
      (portRef O (instanceRef BU613))
      (portRef D (instanceRef BU679))
    )
   )
   (net N7666
    (joined
      (portRef O (instanceRef BU689))
      (portRef D (instanceRef BU691))
    )
   )
   (net N7667
    (joined
      (portRef O (instanceRef BU695))
      (portRef D (instanceRef BU697))
    )
   )
   (net N7668
    (joined
      (portRef O (instanceRef BU701))
      (portRef D (instanceRef BU703))
    )
   )
   (net N7669
    (joined
      (portRef O (instanceRef BU707))
      (portRef D (instanceRef BU709))
    )
   )
   (net N7670
    (joined
      (portRef O (instanceRef BU713))
      (portRef D (instanceRef BU715))
    )
   )
   (net N7671
    (joined
      (portRef O (instanceRef BU719))
      (portRef D (instanceRef BU721))
    )
   )
   (net N7672
    (joined
      (portRef O (instanceRef BU725))
      (portRef D (instanceRef BU727))
    )
   )
   (net N7673
    (joined
      (portRef O (instanceRef BU731))
      (portRef D (instanceRef BU733))
    )
   )
   (net N7674
    (joined
      (portRef O (instanceRef BU737))
      (portRef D (instanceRef BU739))
    )
   )
   (net N7675
    (joined
      (portRef S (instanceRef BU688))
      (portRef LI (instanceRef BU689))
      (portRef O (instanceRef BU687))
    )
   )
   (net N7678
    (joined
      (portRef O (instanceRef BU688))
      (portRef CI (instanceRef BU694))
      (portRef CI (instanceRef BU695))
    )
   )
   (net N7681
    (joined
      (portRef S (instanceRef BU694))
      (portRef LI (instanceRef BU695))
      (portRef O (instanceRef BU693))
    )
   )
   (net N7684
    (joined
      (portRef O (instanceRef BU694))
      (portRef CI (instanceRef BU700))
      (portRef CI (instanceRef BU701))
    )
   )
   (net N7687
    (joined
      (portRef S (instanceRef BU700))
      (portRef LI (instanceRef BU701))
      (portRef O (instanceRef BU699))
    )
   )
   (net N7690
    (joined
      (portRef O (instanceRef BU700))
      (portRef CI (instanceRef BU706))
      (portRef CI (instanceRef BU707))
    )
   )
   (net N7693
    (joined
      (portRef S (instanceRef BU706))
      (portRef LI (instanceRef BU707))
      (portRef O (instanceRef BU705))
    )
   )
   (net N7696
    (joined
      (portRef O (instanceRef BU706))
      (portRef CI (instanceRef BU712))
      (portRef CI (instanceRef BU713))
    )
   )
   (net N7699
    (joined
      (portRef S (instanceRef BU712))
      (portRef LI (instanceRef BU713))
      (portRef O (instanceRef BU711))
    )
   )
   (net N7702
    (joined
      (portRef O (instanceRef BU712))
      (portRef CI (instanceRef BU718))
      (portRef CI (instanceRef BU719))
    )
   )
   (net N7705
    (joined
      (portRef S (instanceRef BU718))
      (portRef LI (instanceRef BU719))
      (portRef O (instanceRef BU717))
    )
   )
   (net N7708
    (joined
      (portRef O (instanceRef BU718))
      (portRef CI (instanceRef BU724))
      (portRef CI (instanceRef BU725))
    )
   )
   (net N7711
    (joined
      (portRef S (instanceRef BU724))
      (portRef LI (instanceRef BU725))
      (portRef O (instanceRef BU723))
    )
   )
   (net N7714
    (joined
      (portRef O (instanceRef BU724))
      (portRef CI (instanceRef BU730))
      (portRef CI (instanceRef BU731))
    )
   )
   (net N7717
    (joined
      (portRef S (instanceRef BU730))
      (portRef LI (instanceRef BU731))
      (portRef O (instanceRef BU729))
    )
   )
   (net N7720
    (joined
      (portRef O (instanceRef BU730))
      (portRef CI (instanceRef BU736))
      (portRef CI (instanceRef BU737))
    )
   )
   (net N7723
    (joined
      (portRef S (instanceRef BU736))
      (portRef LI (instanceRef BU737))
      (portRef O (instanceRef BU735))
    )
   )
))))
(design blk_asy_fifo_511x32 (cellRef blk_asy_fifo_511x32 (libraryRef test_lib))
  (property X_CORE_INFO (string "async_fifo_v5_1, Coregen 6.3.03i"))
  (property PART (string "XC3S5000-4-fg900") (owner "Xilinx")))
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.