OpenCores
URL https://opencores.org/ocsvn/qo/qo/trunk

Subversion Repositories qo

[/] [qo/] [trunk/] [README.txt] - Rev 3

Compare with Previous | Blame | View Log

The Quadrature Oscillator generates fixed frequency complex harmonic signals with minimal hardware consumption.

The Quadrature Oscillator is given as one module in the VHDL package file "dds_sine_pack.vhd"
Because there is a very long sinewave lookup table inside the "dds_sine_pack.vhd" file, the entity
for the Quadrature Oscillator starts around line 20000.

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.