OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [digilentinc.com/] [nexys2/] [ip/] [iceskate/] [syn/] [ise/] [iceskate_rot/] [debug/] [Readme.txt] - Rev 135

Compare with Previous | Blame | View Log

cp bitstream.svf into this subdirectory

sudo openocd -f olimex_nexys2.cfg&
sudo openocd -f olimex_logipi.cfg&



telnet localhost 4444
scan_chain
svf  bitstream.svf -tap nexys2.fpga
svf  ledtest.svf   -tap nexys2.fpga

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.