OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [valentfx.com/] [fpgas/] [ip/] [logipi_T6502/] [rtl/] [xml/] [Nexys2_T6502_core.xml] - Rev 135

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<ipxact:component 
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">

<ipxact:vendor>valentfx.com</ipxact:vendor>
<ipxact:library>fpgas</ipxact:library>
<ipxact:name>logipi_T6502</ipxact:name>
<ipxact:version>core</ipxact:version>    





<ipxact:componentGenerators>





<ipxact:componentGenerator>
  <ipxact:name>gen_verilog</ipxact:name>
  <ipxact:phase>104.0</ipxact:phase>
  <ipxact:apiType>none</ipxact:apiType>
  <ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
  <ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
  <ipxact:parameters>
    <ipxact:parameter> 
      <ipxact:name>destination</ipxact:name>
      <ipxact:value>logipi_T6502_core</ipxact:value>
    </ipxact:parameter>
  </ipxact:parameters>
</ipxact:componentGenerator>










</ipxact:componentGenerators>





<ipxact:busInterfaces>




 <ipxact:busInterface><ipxact:name>jtag</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="cde" name="jtag" version="rpc"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="cde" name="jtag" version="rpc_classic_rtl"/>
    <ipxact:portMaps>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>test_logic_reset</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_test_logic_reset</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>shift_dr</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_shift_dr</ipxact:name>
      </ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>capture_dr</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_capture_dr</ipxact:name>
      </ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>shiftcapture_dr_clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_shiftcapture_dr_clk</ipxact:name>
          
      </ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>update_dr_clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_update_dr_clk</ipxact:name>
</ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>select</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_select</ipxact:name>
          
</ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>tdi</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_tdi</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>tdo</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>jtag_tdo</ipxact:name>
          
</ipxact:physicalPort>
      </ipxact:portMap>



    </ipxact:portMaps>

      </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>

 </ipxact:busInterface>





 <ipxact:busInterface><ipxact:name>aux_jtag</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="cde" name="jtag" version="rpc"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="cde" name="jtag" version="rpc_classic_rtl"/>
    <ipxact:portMaps>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>test_logic_reset</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_test_logic_reset</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>shift_dr</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_shift_dr</ipxact:name>
      </ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>capture_dr</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_capture_dr</ipxact:name>
      </ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>shiftcapture_dr_clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_shiftcapture_dr_clk</ipxact:name>
          
      </ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>update_dr_clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_update_dr_clk</ipxact:name>
</ipxact:physicalPort>
      </ipxact:portMap>

      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>select</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_select</ipxact:name>
          
</ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>tdi</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_tdi</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>


      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>tdo</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>aux_jtag_tdo</ipxact:name>
          
</ipxact:physicalPort>
      </ipxact:portMap>



    </ipxact:portMaps>
        
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>

 </ipxact:busInterface>








 <ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>
        
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>

 </ipxact:busInterface>


 <ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>
                </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>
 </ipxact:busInterface>


  <ipxact:busInterface><ipxact:name>master_reset</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>pwron</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>  
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>


 <ipxact:busInterface><ipxact:name>slave_enable</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="enable" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="enable" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>enable</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>one_usec</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>  
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>

 </ipxact:busInterface>





 <ipxact:busInterface><ipxact:name>btn_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>btn_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>



 <ipxact:busInterface><ipxact:name>sw_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>sw_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
                </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>




 <ipxact:busInterface><ipxact:name>led_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>led_pad_out</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>

        
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>






 <ipxact:busInterface><ipxact:name>pmod1_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>



  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod1_pad_out</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod1_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod1_pad_oe</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>


  <ipxact:busInterface><ipxact:name>pmod2_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod2_pad_out</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod2_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod2_pad_oe</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>


  <ipxact:busInterface><ipxact:name>pmod3_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod3_pad_out</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod3_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod3_pad_oe</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>  
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
  </ipxact:busInterface>



    <ipxact:busInterface><ipxact:name>pmod4_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod4_pad_out</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod4_pad_in</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pmod4_pad_oe</ipxact:name>
          <ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>





 <ipxact:busInterface><ipxact:name>sys_spi_sck_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>sys_spi_sck_pad_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>



 <ipxact:busInterface><ipxact:name>sys_spi_miso_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>sys_spi_miso_pad_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>

 

 <ipxact:busInterface><ipxact:name>sys_spi_mosi_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>sys_spi_mosi_pad_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>
 </ipxact:busInterface>


  <ipxact:busInterface><ipxact:name>rp_spi_ce0n_pad</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="pad" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="pad" version="rtl"/>
    <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>rp_spi_ce0n_pad_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
    </ipxact:portMaps>  
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:master/>

 </ipxact:busInterface>




</ipxact:busInterfaces>






   

<ipxact:model>    





<ipxact:ports>  



      <ipxact:port><ipxact:name>jtag_test_logic_reset</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_shift_dr</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_capture_dr</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_shiftcapture_dr_clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_update_dr_clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_select</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_tdi</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>jtag_tdo</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_test_logic_reset</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_shift_dr</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_capture_dr</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_shiftcapture_dr_clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_update_dr_clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_select</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_tdi</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>aux_jtag_tdo</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>pwron</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


        <ipxact:port><ipxact:name>one_usec</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>btn_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>sw_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>led_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod1_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod1_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod1_pad_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod2_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod2_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod2_pad_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod3_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod3_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod3_pad_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod4_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod4_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>pmod4_pad_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>sys_spi_sck_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>sys_spi_miso_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>sys_spi_mosi_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


          <ipxact:port><ipxact:name>rp_spi_ce0n_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>



  
 </ipxact:ports>  
  
                <ipxact:instantiations>
                        <ipxact:designInstantiation>
                                <ipxact:name>Hierarchical</ipxact:name>
                                <ipxact:designRef vendor="valentfx.com" library="fpgas" name="logipi_T6502" version="core.design"/>
                        </ipxact:designInstantiation>
                </ipxact:instantiations>





  <ipxact:views>

              <ipxact:view>
                <ipxact:name>Hierarchical</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
    <ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
                
              </ipxact:view>




              
              <ipxact:view>
              <ipxact:name>verilog</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>              
              <ipxact:vendorExtensions>
              <ipxact:componentRef ipxact:vendor="opencores.org" 
                                   ipxact:library="Testbench" 
                                   ipxact:name="toolflow" 
                                   ipxact:version="verilog"/> 
              </ipxact:vendorExtensions>
              </ipxact:view>





              <ipxact:view>
              <ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-common</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>

              <ipxact:view>
              <ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-sim</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>


              <ipxact:view>
              <ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-sim</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>

      </ipxact:views>

</ipxact:model>    




<ipxact:fileSets>

   <ipxact:fileSet>
      <ipxact:name>fs-common</ipxact:name>




      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/top.gpio</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
      </ipxact:file>




      <ipxact:file>
        <ipxact:name>../verilog</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>



   </ipxact:fileSet>


   <ipxact:fileSet>
      <ipxact:name>fs-sim</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/copyright</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/common/logipi_T6502_core</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
      </ipxact:file>

      




   </ipxact:fileSet>





</ipxact:fileSets>





</ipxact:component>












   


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.