OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [jtag/] [rtl/] [xml/] [cde_jtag_tap_logic.xml] - Rev 135

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<ipxact:component
    xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
    xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
    xmlns:kactus2="http://kactus2.cs.tut.fi"
    xmlns:socgen="http://opencores.org"
    xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014/ http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
        <ipxact:vendor>opencores.org</ipxact:vendor>
        <ipxact:library>cde</ipxact:library>
        <ipxact:name>jtag</ipxact:name>
        <ipxact:version>tap_logic</ipxact:version>
        <ipxact:busInterfaces>
                <ipxact:busInterface>
                        <ipxact:name>jtag_reset</ipxact:name>
                        <ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
                        <ipxact:abstractionTypes>
                                <ipxact:abstractionType>
                                        <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
                                        <ipxact:portMaps>
                                                <ipxact:portMap>
                                                        <ipxact:logicalPort>
                                                                <ipxact:name>reset</ipxact:name>
                                                        </ipxact:logicalPort>
                                                        <ipxact:physicalPort>
                                                                <ipxact:name>jtag_reset</ipxact:name>
                                                        </ipxact:physicalPort>
                                                </ipxact:portMap>
                                        </ipxact:portMaps>
                                </ipxact:abstractionType>
                        </ipxact:abstractionTypes>
                        <ipxact:master/>
                </ipxact:busInterface>
                <ipxact:busInterface>
                        <ipxact:name>jtag_clk</ipxact:name>
                        <ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
                        <ipxact:abstractionTypes>
                                <ipxact:abstractionType>
                                        <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
                                        <ipxact:portMaps>
                                                <ipxact:portMap>
                                                        <ipxact:logicalPort>
                                                                <ipxact:name>clk</ipxact:name>
                                                        </ipxact:logicalPort>
                                                        <ipxact:physicalPort>
                                                                <ipxact:name>jtag_clk</ipxact:name>
                                                        </ipxact:physicalPort>
                                                </ipxact:portMap>
                                        </ipxact:portMaps>
                                </ipxact:abstractionType>
                        </ipxact:abstractionTypes>
                        <ipxact:master/>
                </ipxact:busInterface>

              </ipxact:busInterfaces>




<ipxact:componentGenerators>




<ipxact:componentGenerator>
  <ipxact:name>gen_verilog</ipxact:name>
  <ipxact:phase>104.0</ipxact:phase>
  <ipxact:apiType>none</ipxact:apiType>
  <ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
  <ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
  <ipxact:parameters>
    <ipxact:parameter> 
      <ipxact:name>destination</ipxact:name>
      <ipxact:value>jtag_tap_logic</ipxact:value>
    </ipxact:parameter>
  </ipxact:parameters>
</ipxact:componentGenerator>




</ipxact:componentGenerators>






              
        <ipxact:model>
                <ipxact:views>
                        <ipxact:view>
                                <ipxact:name>rtl</ipxact:name>
                                <ipxact:envIdentifier>verilog:Kactus2:</ipxact:envIdentifier>
                                <ipxact:componentInstantiationRef>rtl_verilog_component</ipxact:componentInstantiationRef>
                        </ipxact:view>




              <ipxact:view>
              <ipxact:name>verilog</ipxact:name>              
              <ipxact:vendorExtensions>
              <ipxact:componentRef ipxact:vendor="opencores.org" 
                                   ipxact:library="Testbench" 
                                   ipxact:name="toolflow" 
                                   ipxact:version="verilog"/> 
              </ipxact:vendorExtensions>
              </ipxact:view>



              <ipxact:view>
              <ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-common</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>




              <ipxact:view>
              <ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-sim</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>

              <ipxact:view>
              <ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-syn</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>


              

             <ipxact:view>
              <ipxact:name>doc</ipxact:name>
              <ipxact:vendorExtensions>
              <ipxact:componentRef ipxact:vendor="opencores.org" 
                                   ipxact:library="Testbench" 
                                   ipxact:name="toolflow" 
                                   ipxact:version="documentation"/> 
              </ipxact:vendorExtensions>
              <ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              </ipxact:view>





                </ipxact:views>
                <ipxact:instantiations>
                        <ipxact:componentInstantiation>
                                <ipxact:name>rtl_verilog_component</ipxact:name>
                                <ipxact:language>verilog</ipxact:language>
                                <ipxact:moduleName>cde_jtag_tap_logic</ipxact:moduleName>
                                <ipxact:moduleParameters>
                                        <ipxact:moduleParameter parameterId="uuid_43499767_61ae_4181_82d6_0ab128eff50d" usageType="nontyped">
                                                <ipxact:name>NUM_USER</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>2</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_f31ecd17_9913_4925_b0a6_8c3dbd7000d8" usageType="nontyped">
                                                <ipxact:name>INST_LENGTH</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_79afce5c_3f63_4e22_b8a3_5b52146247f5" usageType="nontyped">
                                                <ipxact:name>INST_RESET</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1111</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_6ddbe7f8_e980_404e_b0e8_c2451ac70fa4" usageType="nontyped">
                                                <ipxact:name>INST_RETURN</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1101</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_6ae4cbb8_d5c9_49f8_b375_42006e0494a9" usageType="nontyped">
                                                <ipxact:name>BYPASS</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1111</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_dd4d1211_c5f9_47f0_b9ed_8f36f68de599" usageType="nontyped">
                                                <ipxact:name>CHIP_ID_ACCESS</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b0011</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_4670fc4a_6248_4d5d_abf3_26f81b759080" usageType="nontyped">
                                                <ipxact:name>CLAMP</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1000</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_c77347b9_ffc4_44fb_992a_cd7ecaf14230" usageType="nontyped">
                                                <ipxact:name>EXTEST</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b0000</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_bfc28a94_066e_4894_8bf0_3d79c9b487fe" usageType="nontyped">
                                                <ipxact:name>HIGHZ_MODE</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b0010</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_1ebfa15c_5ce4_4790_9fd5_17f6f09361c6" usageType="nontyped">
                                                <ipxact:name>RPC_ADD</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1001</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_7e993cfb_8ccc_411a_8d43_399b1c1750ed" usageType="nontyped">
                                                <ipxact:name>RPC_DATA</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b1010</ipxact:value>
                                        </ipxact:moduleParameter>
                                        <ipxact:moduleParameter parameterId="uuid_38a3f475_e112_4ce1_aace_c6dfee278a3e" usageType="nontyped">
                                                <ipxact:name>SAMPLE</ipxact:name>
                                                <ipxact:arrays>
                                                        <ipxact:array>
                                                                <ipxact:left></ipxact:left>
                                                                <ipxact:right></ipxact:right>
                                                        </ipxact:array>
                                                </ipxact:arrays>
                                                <ipxact:value>4'b0001</ipxact:value>
                                        </ipxact:moduleParameter>
                                </ipxact:moduleParameters>
                        </ipxact:componentInstantiation>
                </ipxact:instantiations>


                <ipxact:ports>


                        <ipxact:port>
                                <ipxact:name>aux_jtag_clk</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_update_dr_clk_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_shiftcapture_dr_clk_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
        
                        <ipxact:port>
                                <ipxact:name>aux_test_logic_reset_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_tdi_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_capture_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_shift_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_update_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>chip_id_value</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:vectors>
                                                <ipxact:vector>
                                                        <ipxact:left>31</ipxact:left>
                                                        <ipxact:right>0</ipxact:right>
                                                </ipxact:vector>
                                        </ipxact:vectors>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>shiftcapture_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>tdi_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>extest</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>sample</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>clamp</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>chip_id_select</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>



                        <ipxact:port>
                                <ipxact:name>shiftcapture_dr_clk_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>select_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>aux_select_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>

                        <ipxact:port>
                                <ipxact:name>bsr_select_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>out</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>


                  <ipxact:port>
                                <ipxact:name>next_tdo</ipxact:name>
                                <ipxact:wire>
                                        <ipxact:direction>out</ipxact:direction>
                                        <ipxact:vectors>
                                                <ipxact:vector>
                                                        <ipxact:left></ipxact:left>
                                                        <ipxact:right></ipxact:right>
                                                </ipxact:vector>
                                        </ipxact:vectors>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>reg</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>



                  <ipxact:port>
                                <ipxact:name>instruction</ipxact:name>
                                <ipxact:wire>
                                        <ipxact:direction>in</ipxact:direction>
                                        <ipxact:vectors>
                                                <ipxact:vector>
                                                        <ipxact:left>3</ipxact:left>
                                                        <ipxact:right>0</ipxact:right>
                                                </ipxact:vector>
                                        </ipxact:vectors>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>



                        <ipxact:port>
                                <ipxact:name>jtag_clk</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>update_dr_clk_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        
                        <ipxact:port>
                                <ipxact:name>test_logic_reset_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>tdi_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>capture_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>shift_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>update_dr_o</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>tdi_pad_in</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>jtag_shift_clk</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>chip_id_tdo</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>tdo_i</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        
                        <ipxact:port>
                                <ipxact:name>aux_tdo_i</ipxact:name>
                                <ipxact:wire><ipxact:direction>in</ipxact:direction>
                                        <ipxact:wireTypeDefs>
                                                <ipxact:wireTypeDef>
                                                        <ipxact:typeName>wire</ipxact:typeName>
                                                        <ipxact:typeDefinition></ipxact:typeDefinition>
                                                </ipxact:wireTypeDef>
                                        </ipxact:wireTypeDefs>
                                </ipxact:wire>
                        </ipxact:port>
                        

                </ipxact:ports>

        <ipxact:fileSets>






   <ipxact:fileSet>
      <ipxact:name>fs-common</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/tap_logic</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
      </ipxact:file>





   </ipxact:fileSet>




   <ipxact:fileSet>
      <ipxact:name>fs-sim</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/copyright</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>


      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/common/jtag_tap_logic</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
      </ipxact:file>

      <ipxact:file>
        <ipxact:logicalName>dest_dir</ipxact:logicalName>
        <ipxact:name>../views/sim/</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>

   </ipxact:fileSet>


   <ipxact:fileSet>
      <ipxact:name>fs-syn</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/SYNTHESIS</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/copyright</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>



      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/common/jtag_tap_logic</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
      </ipxact:file>


      <ipxact:file>
        <ipxact:logicalName>dest_dir</ipxact:logicalName>
        <ipxact:name>../views/syn/</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>


   </ipxact:fileSet>


    <ipxact:fileSet>

      <ipxact:name>fs-lint</ipxact:name>
      <ipxact:file>
        <ipxact:logicalName>dest_dir</ipxact:logicalName>
        <ipxact:name>../views/syn/</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>

    </ipxact:fileSet>



        </ipxact:fileSets>

<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>INST_LENGTH</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>INST_RETURN</ipxact:name><ipxact:value>4'b1101</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>INST_RESET</ipxact:name><ipxact:value>4'b1111</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>CHIP_ID_VAL</ipxact:name><ipxact:value>32'h00000000</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>NUM_USER</ipxact:name><ipxact:value>2</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>EXTEST</ipxact:name><ipxact:value>4'b0000</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>USER</ipxact:name><ipxact:value>8'b1010_1001</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SAMPLE</ipxact:name><ipxact:value>4'b0001</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>HIGHZ_MODE</ipxact:name><ipxact:value>4'b0010</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>CHIP_ID_ACCESS</ipxact:name><ipxact:value>4'b0011</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>CLAMP</ipxact:name><ipxact:value>4'b1000</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RPC_DATA</ipxact:name><ipxact:value>4'b1010</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RPC_ADD</ipxact:name><ipxact:value>4'b1001</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>BYPASS</ipxact:name><ipxact:value>4'b1111</ipxact:value></ipxact:modelParameter>

</ipxact:modelParameters>


        </ipxact:model>
        
        <ipxact:vendorExtensions>
                <kactus2:version>3,1,2,0</kactus2:version>
                <kactus2:kts_attributes>
                        <kactus2:kts_productHier>IP</kactus2:kts_productHier>
                        <kactus2:kts_implementation>HW</kactus2:kts_implementation>
                        <kactus2:kts_firmness>Mutable</kactus2:kts_firmness>
                </kactus2:kts_attributes>
        </ipxact:vendorExtensions>
</ipxact:component>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.