OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [spw_fifo_ulight.hier_info] - Rev 40

Compare with Previous | Blame | View Log

|SPW_ULIGHT_FIFO
FPGA_CLK1_50 => FPGA_CLK1_50.IN2
KEY[0] => ~NO_FANOUT~
KEY[1] => KEY[1].IN1
din_a => din_a.IN1
sin_a => sin_a.IN1
dout_a << dout_a.DB_MAX_OUTPUT_PORT_TYPE
sout_a << sout_a.DB_MAX_OUTPUT_PORT_TYPE
LED[0] << ulight_fifo:u0.led_pio_test_external_connection_export
LED[1] << ulight_fifo:u0.led_pio_test_external_connection_export
LED[2] << ulight_fifo:u0.led_pio_test_external_connection_export
LED[3] << ulight_fifo:u0.led_pio_test_external_connection_export
LED[4] << ulight_fifo:u0.led_pio_test_external_connection_export
LED[5] << debounce_db:db_system_spwulight_b.PB_down
LED[6] << <GND>
LED[7] << pll_tx_locked_export.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0
auto_start_external_connection_export <= ulight_fifo_auto_start:auto_start.out_port
clk_clk => clk_clk.IN27
clock_sel_external_connection_export[0] <= ulight_fifo_clock_sel:clock_sel.out_port
clock_sel_external_connection_export[1] <= ulight_fifo_clock_sel:clock_sel.out_port
clock_sel_external_connection_export[2] <= ulight_fifo_clock_sel:clock_sel.out_port
counter_rx_fifo_external_connection_export[0] => counter_rx_fifo_external_connection_export[0].IN1
counter_rx_fifo_external_connection_export[1] => counter_rx_fifo_external_connection_export[1].IN1
counter_rx_fifo_external_connection_export[2] => counter_rx_fifo_external_connection_export[2].IN1
counter_rx_fifo_external_connection_export[3] => counter_rx_fifo_external_connection_export[3].IN1
counter_rx_fifo_external_connection_export[4] => counter_rx_fifo_external_connection_export[4].IN1
counter_rx_fifo_external_connection_export[5] => counter_rx_fifo_external_connection_export[5].IN1
counter_tx_fifo_external_connection_export[0] => counter_tx_fifo_external_connection_export[0].IN1
counter_tx_fifo_external_connection_export[1] => counter_tx_fifo_external_connection_export[1].IN1
counter_tx_fifo_external_connection_export[2] => counter_tx_fifo_external_connection_export[2].IN1
counter_tx_fifo_external_connection_export[3] => counter_tx_fifo_external_connection_export[3].IN1
counter_tx_fifo_external_connection_export[4] => counter_tx_fifo_external_connection_export[4].IN1
counter_tx_fifo_external_connection_export[5] => counter_tx_fifo_external_connection_export[5].IN1
data_flag_rx_external_connection_export[0] => data_flag_rx_external_connection_export[0].IN1
data_flag_rx_external_connection_export[1] => data_flag_rx_external_connection_export[1].IN1
data_flag_rx_external_connection_export[2] => data_flag_rx_external_connection_export[2].IN1
data_flag_rx_external_connection_export[3] => data_flag_rx_external_connection_export[3].IN1
data_flag_rx_external_connection_export[4] => data_flag_rx_external_connection_export[4].IN1
data_flag_rx_external_connection_export[5] => data_flag_rx_external_connection_export[5].IN1
data_flag_rx_external_connection_export[6] => data_flag_rx_external_connection_export[6].IN1
data_flag_rx_external_connection_export[7] => data_flag_rx_external_connection_export[7].IN1
data_flag_rx_external_connection_export[8] => data_flag_rx_external_connection_export[8].IN1
data_info_external_connection_export[0] => data_info_external_connection_export[0].IN1
data_info_external_connection_export[1] => data_info_external_connection_export[1].IN1
data_info_external_connection_export[2] => data_info_external_connection_export[2].IN1
data_info_external_connection_export[3] => data_info_external_connection_export[3].IN1
data_info_external_connection_export[4] => data_info_external_connection_export[4].IN1
data_info_external_connection_export[5] => data_info_external_connection_export[5].IN1
data_info_external_connection_export[6] => data_info_external_connection_export[6].IN1
data_info_external_connection_export[7] => data_info_external_connection_export[7].IN1
data_info_external_connection_export[8] => data_info_external_connection_export[8].IN1
data_info_external_connection_export[9] => data_info_external_connection_export[9].IN1
data_info_external_connection_export[10] => data_info_external_connection_export[10].IN1
data_info_external_connection_export[11] => data_info_external_connection_export[11].IN1
data_info_external_connection_export[12] => data_info_external_connection_export[12].IN1
data_info_external_connection_export[13] => data_info_external_connection_export[13].IN1
data_read_en_rx_external_connection_export <= ulight_fifo_auto_start:data_read_en_rx.out_port
fifo_empty_rx_status_external_connection_export => fifo_empty_rx_status_external_connection_export.IN1
fifo_empty_tx_status_external_connection_export => fifo_empty_tx_status_external_connection_export.IN1
fifo_full_rx_status_external_connection_export => fifo_full_rx_status_external_connection_export.IN1
fifo_full_tx_status_external_connection_export => fifo_full_tx_status_external_connection_export.IN1
fsm_info_external_connection_export[0] => fsm_info_external_connection_export[0].IN1
fsm_info_external_connection_export[1] => fsm_info_external_connection_export[1].IN1
fsm_info_external_connection_export[2] => fsm_info_external_connection_export[2].IN1
fsm_info_external_connection_export[3] => fsm_info_external_connection_export[3].IN1
fsm_info_external_connection_export[4] => fsm_info_external_connection_export[4].IN1
fsm_info_external_connection_export[5] => fsm_info_external_connection_export[5].IN1
led_pio_test_external_connection_export[0] <= ulight_fifo_led_pio_test:led_pio_test.out_port
led_pio_test_external_connection_export[1] <= ulight_fifo_led_pio_test:led_pio_test.out_port
led_pio_test_external_connection_export[2] <= ulight_fifo_led_pio_test:led_pio_test.out_port
led_pio_test_external_connection_export[3] <= ulight_fifo_led_pio_test:led_pio_test.out_port
led_pio_test_external_connection_export[4] <= ulight_fifo_led_pio_test:led_pio_test.out_port
link_disable_external_connection_export <= ulight_fifo_auto_start:link_disable.out_port
link_start_external_connection_export <= ulight_fifo_auto_start:link_start.out_port
memory_mem_a[0] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[1] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[2] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[3] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[4] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[5] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[6] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[7] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[8] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[9] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[10] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[11] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_a[12] <= ulight_fifo_hps_0:hps_0.mem_a
memory_mem_ba[0] <= ulight_fifo_hps_0:hps_0.mem_ba
memory_mem_ba[1] <= ulight_fifo_hps_0:hps_0.mem_ba
memory_mem_ba[2] <= ulight_fifo_hps_0:hps_0.mem_ba
memory_mem_ck <= ulight_fifo_hps_0:hps_0.mem_ck
memory_mem_ck_n <= ulight_fifo_hps_0:hps_0.mem_ck_n
memory_mem_cke <= ulight_fifo_hps_0:hps_0.mem_cke
memory_mem_cs_n <= ulight_fifo_hps_0:hps_0.mem_cs_n
memory_mem_ras_n <= ulight_fifo_hps_0:hps_0.mem_ras_n
memory_mem_cas_n <= ulight_fifo_hps_0:hps_0.mem_cas_n
memory_mem_we_n <= ulight_fifo_hps_0:hps_0.mem_we_n
memory_mem_reset_n <= ulight_fifo_hps_0:hps_0.mem_reset_n
memory_mem_dq[0] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[1] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[2] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[3] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[4] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[5] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[6] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dq[7] <> ulight_fifo_hps_0:hps_0.mem_dq
memory_mem_dqs <> ulight_fifo_hps_0:hps_0.mem_dqs
memory_mem_dqs_n <> ulight_fifo_hps_0:hps_0.mem_dqs_n
memory_mem_odt <= ulight_fifo_hps_0:hps_0.mem_odt
memory_mem_dm <= ulight_fifo_hps_0:hps_0.mem_dm
memory_oct_rzqin => memory_oct_rzqin.IN1
pll_0_locked_export <= ulight_fifo_pll_0:pll_0.locked
pll_0_outclk0_clk <= ulight_fifo_pll_0:pll_0.outclk_0
reset_reset_n => _.IN1
reset_reset_n => _.IN1
timecode_ready_rx_external_connection_export => timecode_ready_rx_external_connection_export.IN1
timecode_rx_external_connection_export[0] => timecode_rx_external_connection_export[0].IN1
timecode_rx_external_connection_export[1] => timecode_rx_external_connection_export[1].IN1
timecode_rx_external_connection_export[2] => timecode_rx_external_connection_export[2].IN1
timecode_rx_external_connection_export[3] => timecode_rx_external_connection_export[3].IN1
timecode_rx_external_connection_export[4] => timecode_rx_external_connection_export[4].IN1
timecode_rx_external_connection_export[5] => timecode_rx_external_connection_export[5].IN1
timecode_rx_external_connection_export[6] => timecode_rx_external_connection_export[6].IN1
timecode_rx_external_connection_export[7] => timecode_rx_external_connection_export[7].IN1
timecode_tx_data_external_connection_export[0] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[1] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[2] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[3] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[4] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[5] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[6] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_data_external_connection_export[7] <= ulight_fifo_timecode_tx_data:timecode_tx_data.out_port
timecode_tx_enable_external_connection_export <= ulight_fifo_auto_start:timecode_tx_enable.out_port
timecode_tx_ready_external_connection_export => timecode_tx_ready_external_connection_export.IN1
write_data_fifo_tx_external_connection_export[0] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[1] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[2] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[3] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[4] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[5] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[6] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[7] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_data_fifo_tx_external_connection_export[8] <= ulight_fifo_write_data_fifo_tx:write_data_fifo_tx.out_port
write_en_tx_external_connection_export <= ulight_fifo_auto_start:write_en_tx.out_port


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:auto_start
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_clock_sel:clock_sel
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out[0].CLK
clk => data_out[1].CLK
clk => data_out[2].CLK
reset_n => data_out[0].ACLR
reset_n => data_out[1].ACLR
reset_n => data_out[2].ACLR
write_n => always0.IN1
writedata[0] => data_out[0].DATAIN
writedata[1] => data_out[1].DATAIN
writedata[2] => data_out[2].DATAIN
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port[0] <= data_out[0].DB_MAX_OUTPUT_PORT_TYPE
out_port[1] <= data_out[1].DB_MAX_OUTPUT_PORT_TYPE
out_port[2] <= data_out[2].DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_counter_rx_fifo:counter_rx_fifo
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_counter_rx_fifo:counter_tx_fifo
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_data_flag_rx:data_flag_rx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
in_port[6] => read_mux_out[6].IN1
in_port[7] => read_mux_out[7].IN1
in_port[8] => read_mux_out[8].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_data_info:data_info
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
in_port[6] => read_mux_out[6].IN1
in_port[7] => read_mux_out[7].IN1
in_port[8] => read_mux_out[8].IN1
in_port[9] => read_mux_out[9].IN1
in_port[10] => read_mux_out[10].IN1
in_port[11] => read_mux_out[11].IN1
in_port[12] => read_mux_out[12].IN1
in_port[13] => read_mux_out[13].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:data_read_en_rx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:fifo_empty_rx_status
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:fifo_empty_tx_status
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:fifo_full_rx_status
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:fifo_full_tx_status
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_counter_rx_fifo:fsm_info
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0
h2f_rst_n <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_rst_n
h2f_axi_clk => h2f_axi_clk.IN1
h2f_AWID[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWID[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWID
h2f_AWADDR[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[12] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[13] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[14] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[15] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[16] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[17] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[18] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[19] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[20] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[21] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[22] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[23] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[24] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[25] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[26] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[27] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[28] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWADDR[29] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWADDR
h2f_AWLEN[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLEN
h2f_AWLEN[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLEN
h2f_AWLEN[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLEN
h2f_AWLEN[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLEN
h2f_AWSIZE[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWSIZE
h2f_AWSIZE[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWSIZE
h2f_AWSIZE[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWSIZE
h2f_AWBURST[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWBURST
h2f_AWBURST[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWBURST
h2f_AWLOCK[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLOCK
h2f_AWLOCK[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWLOCK
h2f_AWCACHE[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWCACHE
h2f_AWCACHE[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWCACHE
h2f_AWCACHE[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWCACHE
h2f_AWCACHE[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWCACHE
h2f_AWPROT[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWPROT
h2f_AWPROT[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWPROT
h2f_AWPROT[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWPROT
h2f_AWVALID <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_AWVALID
h2f_AWREADY => h2f_AWREADY.IN1
h2f_WID[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WID[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WID
h2f_WDATA[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[12] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[13] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[14] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[15] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[16] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[17] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[18] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[19] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[20] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[21] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[22] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[23] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[24] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[25] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[26] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[27] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[28] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[29] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[30] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WDATA[31] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WDATA
h2f_WSTRB[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WSTRB
h2f_WSTRB[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WSTRB
h2f_WSTRB[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WSTRB
h2f_WSTRB[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WSTRB
h2f_WLAST <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WLAST
h2f_WVALID <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_WVALID
h2f_WREADY => h2f_WREADY.IN1
h2f_BID[0] => h2f_BID[0].IN1
h2f_BID[1] => h2f_BID[1].IN1
h2f_BID[2] => h2f_BID[2].IN1
h2f_BID[3] => h2f_BID[3].IN1
h2f_BID[4] => h2f_BID[4].IN1
h2f_BID[5] => h2f_BID[5].IN1
h2f_BID[6] => h2f_BID[6].IN1
h2f_BID[7] => h2f_BID[7].IN1
h2f_BID[8] => h2f_BID[8].IN1
h2f_BID[9] => h2f_BID[9].IN1
h2f_BID[10] => h2f_BID[10].IN1
h2f_BID[11] => h2f_BID[11].IN1
h2f_BRESP[0] => h2f_BRESP[0].IN1
h2f_BRESP[1] => h2f_BRESP[1].IN1
h2f_BVALID => h2f_BVALID.IN1
h2f_BREADY <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_BREADY
h2f_ARID[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARID[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARID
h2f_ARADDR[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[4] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[5] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[6] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[7] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[8] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[9] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[10] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[11] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[12] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[13] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[14] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[15] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[16] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[17] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[18] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[19] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[20] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[21] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[22] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[23] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[24] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[25] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[26] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[27] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[28] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARADDR[29] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARADDR
h2f_ARLEN[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLEN
h2f_ARLEN[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLEN
h2f_ARLEN[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLEN
h2f_ARLEN[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLEN
h2f_ARSIZE[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARSIZE
h2f_ARSIZE[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARSIZE
h2f_ARSIZE[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARSIZE
h2f_ARBURST[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARBURST
h2f_ARBURST[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARBURST
h2f_ARLOCK[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLOCK
h2f_ARLOCK[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARLOCK
h2f_ARCACHE[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARCACHE
h2f_ARCACHE[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARCACHE
h2f_ARCACHE[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARCACHE
h2f_ARCACHE[3] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARCACHE
h2f_ARPROT[0] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARPROT
h2f_ARPROT[1] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARPROT
h2f_ARPROT[2] <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARPROT
h2f_ARVALID <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_ARVALID
h2f_ARREADY => h2f_ARREADY.IN1
h2f_RID[0] => h2f_RID[0].IN1
h2f_RID[1] => h2f_RID[1].IN1
h2f_RID[2] => h2f_RID[2].IN1
h2f_RID[3] => h2f_RID[3].IN1
h2f_RID[4] => h2f_RID[4].IN1
h2f_RID[5] => h2f_RID[5].IN1
h2f_RID[6] => h2f_RID[6].IN1
h2f_RID[7] => h2f_RID[7].IN1
h2f_RID[8] => h2f_RID[8].IN1
h2f_RID[9] => h2f_RID[9].IN1
h2f_RID[10] => h2f_RID[10].IN1
h2f_RID[11] => h2f_RID[11].IN1
h2f_RDATA[0] => h2f_RDATA[0].IN1
h2f_RDATA[1] => h2f_RDATA[1].IN1
h2f_RDATA[2] => h2f_RDATA[2].IN1
h2f_RDATA[3] => h2f_RDATA[3].IN1
h2f_RDATA[4] => h2f_RDATA[4].IN1
h2f_RDATA[5] => h2f_RDATA[5].IN1
h2f_RDATA[6] => h2f_RDATA[6].IN1
h2f_RDATA[7] => h2f_RDATA[7].IN1
h2f_RDATA[8] => h2f_RDATA[8].IN1
h2f_RDATA[9] => h2f_RDATA[9].IN1
h2f_RDATA[10] => h2f_RDATA[10].IN1
h2f_RDATA[11] => h2f_RDATA[11].IN1
h2f_RDATA[12] => h2f_RDATA[12].IN1
h2f_RDATA[13] => h2f_RDATA[13].IN1
h2f_RDATA[14] => h2f_RDATA[14].IN1
h2f_RDATA[15] => h2f_RDATA[15].IN1
h2f_RDATA[16] => h2f_RDATA[16].IN1
h2f_RDATA[17] => h2f_RDATA[17].IN1
h2f_RDATA[18] => h2f_RDATA[18].IN1
h2f_RDATA[19] => h2f_RDATA[19].IN1
h2f_RDATA[20] => h2f_RDATA[20].IN1
h2f_RDATA[21] => h2f_RDATA[21].IN1
h2f_RDATA[22] => h2f_RDATA[22].IN1
h2f_RDATA[23] => h2f_RDATA[23].IN1
h2f_RDATA[24] => h2f_RDATA[24].IN1
h2f_RDATA[25] => h2f_RDATA[25].IN1
h2f_RDATA[26] => h2f_RDATA[26].IN1
h2f_RDATA[27] => h2f_RDATA[27].IN1
h2f_RDATA[28] => h2f_RDATA[28].IN1
h2f_RDATA[29] => h2f_RDATA[29].IN1
h2f_RDATA[30] => h2f_RDATA[30].IN1
h2f_RDATA[31] => h2f_RDATA[31].IN1
h2f_RRESP[0] => h2f_RRESP[0].IN1
h2f_RRESP[1] => h2f_RRESP[1].IN1
h2f_RLAST => h2f_RLAST.IN1
h2f_RVALID => h2f_RVALID.IN1
h2f_RREADY <= ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces.h2f_RREADY
mem_a[0] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[1] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[2] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[3] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[4] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[5] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[6] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[7] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[8] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[9] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[10] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[11] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_a[12] <= ulight_fifo_hps_0_hps_io:hps_io.mem_a
mem_ba[0] <= ulight_fifo_hps_0_hps_io:hps_io.mem_ba
mem_ba[1] <= ulight_fifo_hps_0_hps_io:hps_io.mem_ba
mem_ba[2] <= ulight_fifo_hps_0_hps_io:hps_io.mem_ba
mem_ck <= ulight_fifo_hps_0_hps_io:hps_io.mem_ck
mem_ck_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_ck_n
mem_cke <= ulight_fifo_hps_0_hps_io:hps_io.mem_cke
mem_cs_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_cs_n
mem_ras_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_ras_n
mem_cas_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_cas_n
mem_we_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_we_n
mem_reset_n <= ulight_fifo_hps_0_hps_io:hps_io.mem_reset_n
mem_dq[0] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[1] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[2] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[3] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[4] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[5] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[6] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dq[7] <> ulight_fifo_hps_0_hps_io:hps_io.mem_dq
mem_dqs <> ulight_fifo_hps_0_hps_io:hps_io.mem_dqs
mem_dqs_n <> ulight_fifo_hps_0_hps_io:hps_io.mem_dqs_n
mem_odt <= ulight_fifo_hps_0_hps_io:hps_io.mem_odt
mem_dm <= ulight_fifo_hps_0_hps_io:hps_io.mem_dm
oct_rzqin => oct_rzqin.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_fpga_interfaces:fpga_interfaces
h2f_rst_n[0] <= clocks_resets.O_H2F_RST_N
h2f_axi_clk[0] => hps2fpga.I_CLK
h2f_AWID[0] <= hps2fpga.O_AWID
h2f_AWID[1] <= hps2fpga.O_AWID1
h2f_AWID[2] <= hps2fpga.O_AWID2
h2f_AWID[3] <= hps2fpga.O_AWID3
h2f_AWID[4] <= hps2fpga.O_AWID4
h2f_AWID[5] <= hps2fpga.O_AWID5
h2f_AWID[6] <= hps2fpga.O_AWID6
h2f_AWID[7] <= hps2fpga.O_AWID7
h2f_AWID[8] <= hps2fpga.O_AWID8
h2f_AWID[9] <= hps2fpga.O_AWID9
h2f_AWID[10] <= hps2fpga.O_AWID10
h2f_AWID[11] <= hps2fpga.O_AWID11
h2f_AWADDR[0] <= hps2fpga.O_AWADDR
h2f_AWADDR[1] <= hps2fpga.O_AWADDR1
h2f_AWADDR[2] <= hps2fpga.O_AWADDR2
h2f_AWADDR[3] <= hps2fpga.O_AWADDR3
h2f_AWADDR[4] <= hps2fpga.O_AWADDR4
h2f_AWADDR[5] <= hps2fpga.O_AWADDR5
h2f_AWADDR[6] <= hps2fpga.O_AWADDR6
h2f_AWADDR[7] <= hps2fpga.O_AWADDR7
h2f_AWADDR[8] <= hps2fpga.O_AWADDR8
h2f_AWADDR[9] <= hps2fpga.O_AWADDR9
h2f_AWADDR[10] <= hps2fpga.O_AWADDR10
h2f_AWADDR[11] <= hps2fpga.O_AWADDR11
h2f_AWADDR[12] <= hps2fpga.O_AWADDR12
h2f_AWADDR[13] <= hps2fpga.O_AWADDR13
h2f_AWADDR[14] <= hps2fpga.O_AWADDR14
h2f_AWADDR[15] <= hps2fpga.O_AWADDR15
h2f_AWADDR[16] <= hps2fpga.O_AWADDR16
h2f_AWADDR[17] <= hps2fpga.O_AWADDR17
h2f_AWADDR[18] <= hps2fpga.O_AWADDR18
h2f_AWADDR[19] <= hps2fpga.O_AWADDR19
h2f_AWADDR[20] <= hps2fpga.O_AWADDR20
h2f_AWADDR[21] <= hps2fpga.O_AWADDR21
h2f_AWADDR[22] <= hps2fpga.O_AWADDR22
h2f_AWADDR[23] <= hps2fpga.O_AWADDR23
h2f_AWADDR[24] <= hps2fpga.O_AWADDR24
h2f_AWADDR[25] <= hps2fpga.O_AWADDR25
h2f_AWADDR[26] <= hps2fpga.O_AWADDR26
h2f_AWADDR[27] <= hps2fpga.O_AWADDR27
h2f_AWADDR[28] <= hps2fpga.O_AWADDR28
h2f_AWADDR[29] <= hps2fpga.O_AWADDR29
h2f_AWLEN[0] <= hps2fpga.O_AWLEN
h2f_AWLEN[1] <= hps2fpga.O_AWLEN1
h2f_AWLEN[2] <= hps2fpga.O_AWLEN2
h2f_AWLEN[3] <= hps2fpga.O_AWLEN3
h2f_AWSIZE[0] <= hps2fpga.O_AWSIZE
h2f_AWSIZE[1] <= hps2fpga.O_AWSIZE1
h2f_AWSIZE[2] <= hps2fpga.O_AWSIZE2
h2f_AWBURST[0] <= hps2fpga.O_AWBURST
h2f_AWBURST[1] <= hps2fpga.O_AWBURST1
h2f_AWLOCK[0] <= hps2fpga.O_AWLOCK
h2f_AWLOCK[1] <= hps2fpga.O_AWLOCK1
h2f_AWCACHE[0] <= hps2fpga.O_AWCACHE
h2f_AWCACHE[1] <= hps2fpga.O_AWCACHE1
h2f_AWCACHE[2] <= hps2fpga.O_AWCACHE2
h2f_AWCACHE[3] <= hps2fpga.O_AWCACHE3
h2f_AWPROT[0] <= hps2fpga.O_AWPROT
h2f_AWPROT[1] <= hps2fpga.O_AWPROT1
h2f_AWPROT[2] <= hps2fpga.O_AWPROT2
h2f_AWVALID[0] <= hps2fpga.O_AWVALID
h2f_AWREADY[0] => hps2fpga.I_AWREADY
h2f_WID[0] <= hps2fpga.O_WID
h2f_WID[1] <= hps2fpga.O_WID1
h2f_WID[2] <= hps2fpga.O_WID2
h2f_WID[3] <= hps2fpga.O_WID3
h2f_WID[4] <= hps2fpga.O_WID4
h2f_WID[5] <= hps2fpga.O_WID5
h2f_WID[6] <= hps2fpga.O_WID6
h2f_WID[7] <= hps2fpga.O_WID7
h2f_WID[8] <= hps2fpga.O_WID8
h2f_WID[9] <= hps2fpga.O_WID9
h2f_WID[10] <= hps2fpga.O_WID10
h2f_WID[11] <= hps2fpga.O_WID11
h2f_WDATA[0] <= hps2fpga.O_WDATA
h2f_WDATA[1] <= hps2fpga.O_WDATA1
h2f_WDATA[2] <= hps2fpga.O_WDATA2
h2f_WDATA[3] <= hps2fpga.O_WDATA3
h2f_WDATA[4] <= hps2fpga.O_WDATA4
h2f_WDATA[5] <= hps2fpga.O_WDATA5
h2f_WDATA[6] <= hps2fpga.O_WDATA6
h2f_WDATA[7] <= hps2fpga.O_WDATA7
h2f_WDATA[8] <= hps2fpga.O_WDATA8
h2f_WDATA[9] <= hps2fpga.O_WDATA9
h2f_WDATA[10] <= hps2fpga.O_WDATA10
h2f_WDATA[11] <= hps2fpga.O_WDATA11
h2f_WDATA[12] <= hps2fpga.O_WDATA12
h2f_WDATA[13] <= hps2fpga.O_WDATA13
h2f_WDATA[14] <= hps2fpga.O_WDATA14
h2f_WDATA[15] <= hps2fpga.O_WDATA15
h2f_WDATA[16] <= hps2fpga.O_WDATA16
h2f_WDATA[17] <= hps2fpga.O_WDATA17
h2f_WDATA[18] <= hps2fpga.O_WDATA18
h2f_WDATA[19] <= hps2fpga.O_WDATA19
h2f_WDATA[20] <= hps2fpga.O_WDATA20
h2f_WDATA[21] <= hps2fpga.O_WDATA21
h2f_WDATA[22] <= hps2fpga.O_WDATA22
h2f_WDATA[23] <= hps2fpga.O_WDATA23
h2f_WDATA[24] <= hps2fpga.O_WDATA24
h2f_WDATA[25] <= hps2fpga.O_WDATA25
h2f_WDATA[26] <= hps2fpga.O_WDATA26
h2f_WDATA[27] <= hps2fpga.O_WDATA27
h2f_WDATA[28] <= hps2fpga.O_WDATA28
h2f_WDATA[29] <= hps2fpga.O_WDATA29
h2f_WDATA[30] <= hps2fpga.O_WDATA30
h2f_WDATA[31] <= hps2fpga.O_WDATA31
h2f_WSTRB[0] <= hps2fpga.O_WSTRB
h2f_WSTRB[1] <= hps2fpga.O_WSTRB1
h2f_WSTRB[2] <= hps2fpga.O_WSTRB2
h2f_WSTRB[3] <= hps2fpga.O_WSTRB3
h2f_WLAST[0] <= hps2fpga.O_WLAST
h2f_WVALID[0] <= hps2fpga.O_WVALID
h2f_WREADY[0] => hps2fpga.I_WREADY
h2f_BID[0] => hps2fpga.I_BID
h2f_BID[1] => hps2fpga.I_BID1
h2f_BID[2] => hps2fpga.I_BID2
h2f_BID[3] => hps2fpga.I_BID3
h2f_BID[4] => hps2fpga.I_BID4
h2f_BID[5] => hps2fpga.I_BID5
h2f_BID[6] => hps2fpga.I_BID6
h2f_BID[7] => hps2fpga.I_BID7
h2f_BID[8] => hps2fpga.I_BID8
h2f_BID[9] => hps2fpga.I_BID9
h2f_BID[10] => hps2fpga.I_BID10
h2f_BID[11] => hps2fpga.I_BID11
h2f_BRESP[0] => hps2fpga.I_BRESP
h2f_BRESP[1] => hps2fpga.I_BRESP1
h2f_BVALID[0] => hps2fpga.I_BVALID
h2f_BREADY[0] <= hps2fpga.O_BREADY
h2f_ARID[0] <= hps2fpga.O_ARID
h2f_ARID[1] <= hps2fpga.O_ARID1
h2f_ARID[2] <= hps2fpga.O_ARID2
h2f_ARID[3] <= hps2fpga.O_ARID3
h2f_ARID[4] <= hps2fpga.O_ARID4
h2f_ARID[5] <= hps2fpga.O_ARID5
h2f_ARID[6] <= hps2fpga.O_ARID6
h2f_ARID[7] <= hps2fpga.O_ARID7
h2f_ARID[8] <= hps2fpga.O_ARID8
h2f_ARID[9] <= hps2fpga.O_ARID9
h2f_ARID[10] <= hps2fpga.O_ARID10
h2f_ARID[11] <= hps2fpga.O_ARID11
h2f_ARADDR[0] <= hps2fpga.O_ARADDR
h2f_ARADDR[1] <= hps2fpga.O_ARADDR1
h2f_ARADDR[2] <= hps2fpga.O_ARADDR2
h2f_ARADDR[3] <= hps2fpga.O_ARADDR3
h2f_ARADDR[4] <= hps2fpga.O_ARADDR4
h2f_ARADDR[5] <= hps2fpga.O_ARADDR5
h2f_ARADDR[6] <= hps2fpga.O_ARADDR6
h2f_ARADDR[7] <= hps2fpga.O_ARADDR7
h2f_ARADDR[8] <= hps2fpga.O_ARADDR8
h2f_ARADDR[9] <= hps2fpga.O_ARADDR9
h2f_ARADDR[10] <= hps2fpga.O_ARADDR10
h2f_ARADDR[11] <= hps2fpga.O_ARADDR11
h2f_ARADDR[12] <= hps2fpga.O_ARADDR12
h2f_ARADDR[13] <= hps2fpga.O_ARADDR13
h2f_ARADDR[14] <= hps2fpga.O_ARADDR14
h2f_ARADDR[15] <= hps2fpga.O_ARADDR15
h2f_ARADDR[16] <= hps2fpga.O_ARADDR16
h2f_ARADDR[17] <= hps2fpga.O_ARADDR17
h2f_ARADDR[18] <= hps2fpga.O_ARADDR18
h2f_ARADDR[19] <= hps2fpga.O_ARADDR19
h2f_ARADDR[20] <= hps2fpga.O_ARADDR20
h2f_ARADDR[21] <= hps2fpga.O_ARADDR21
h2f_ARADDR[22] <= hps2fpga.O_ARADDR22
h2f_ARADDR[23] <= hps2fpga.O_ARADDR23
h2f_ARADDR[24] <= hps2fpga.O_ARADDR24
h2f_ARADDR[25] <= hps2fpga.O_ARADDR25
h2f_ARADDR[26] <= hps2fpga.O_ARADDR26
h2f_ARADDR[27] <= hps2fpga.O_ARADDR27
h2f_ARADDR[28] <= hps2fpga.O_ARADDR28
h2f_ARADDR[29] <= hps2fpga.O_ARADDR29
h2f_ARLEN[0] <= hps2fpga.O_ARLEN
h2f_ARLEN[1] <= hps2fpga.O_ARLEN1
h2f_ARLEN[2] <= hps2fpga.O_ARLEN2
h2f_ARLEN[3] <= hps2fpga.O_ARLEN3
h2f_ARSIZE[0] <= hps2fpga.O_ARSIZE
h2f_ARSIZE[1] <= hps2fpga.O_ARSIZE1
h2f_ARSIZE[2] <= hps2fpga.O_ARSIZE2
h2f_ARBURST[0] <= hps2fpga.O_ARBURST
h2f_ARBURST[1] <= hps2fpga.O_ARBURST1
h2f_ARLOCK[0] <= hps2fpga.O_ARLOCK
h2f_ARLOCK[1] <= hps2fpga.O_ARLOCK1
h2f_ARCACHE[0] <= hps2fpga.O_ARCACHE
h2f_ARCACHE[1] <= hps2fpga.O_ARCACHE1
h2f_ARCACHE[2] <= hps2fpga.O_ARCACHE2
h2f_ARCACHE[3] <= hps2fpga.O_ARCACHE3
h2f_ARPROT[0] <= hps2fpga.O_ARPROT
h2f_ARPROT[1] <= hps2fpga.O_ARPROT1
h2f_ARPROT[2] <= hps2fpga.O_ARPROT2
h2f_ARVALID[0] <= hps2fpga.O_ARVALID
h2f_ARREADY[0] => hps2fpga.I_ARREADY
h2f_RID[0] => hps2fpga.I_RID
h2f_RID[1] => hps2fpga.I_RID1
h2f_RID[2] => hps2fpga.I_RID2
h2f_RID[3] => hps2fpga.I_RID3
h2f_RID[4] => hps2fpga.I_RID4
h2f_RID[5] => hps2fpga.I_RID5
h2f_RID[6] => hps2fpga.I_RID6
h2f_RID[7] => hps2fpga.I_RID7
h2f_RID[8] => hps2fpga.I_RID8
h2f_RID[9] => hps2fpga.I_RID9
h2f_RID[10] => hps2fpga.I_RID10
h2f_RID[11] => hps2fpga.I_RID11
h2f_RDATA[0] => hps2fpga.I_RDATA
h2f_RDATA[1] => hps2fpga.I_RDATA1
h2f_RDATA[2] => hps2fpga.I_RDATA2
h2f_RDATA[3] => hps2fpga.I_RDATA3
h2f_RDATA[4] => hps2fpga.I_RDATA4
h2f_RDATA[5] => hps2fpga.I_RDATA5
h2f_RDATA[6] => hps2fpga.I_RDATA6
h2f_RDATA[7] => hps2fpga.I_RDATA7
h2f_RDATA[8] => hps2fpga.I_RDATA8
h2f_RDATA[9] => hps2fpga.I_RDATA9
h2f_RDATA[10] => hps2fpga.I_RDATA10
h2f_RDATA[11] => hps2fpga.I_RDATA11
h2f_RDATA[12] => hps2fpga.I_RDATA12
h2f_RDATA[13] => hps2fpga.I_RDATA13
h2f_RDATA[14] => hps2fpga.I_RDATA14
h2f_RDATA[15] => hps2fpga.I_RDATA15
h2f_RDATA[16] => hps2fpga.I_RDATA16
h2f_RDATA[17] => hps2fpga.I_RDATA17
h2f_RDATA[18] => hps2fpga.I_RDATA18
h2f_RDATA[19] => hps2fpga.I_RDATA19
h2f_RDATA[20] => hps2fpga.I_RDATA20
h2f_RDATA[21] => hps2fpga.I_RDATA21
h2f_RDATA[22] => hps2fpga.I_RDATA22
h2f_RDATA[23] => hps2fpga.I_RDATA23
h2f_RDATA[24] => hps2fpga.I_RDATA24
h2f_RDATA[25] => hps2fpga.I_RDATA25
h2f_RDATA[26] => hps2fpga.I_RDATA26
h2f_RDATA[27] => hps2fpga.I_RDATA27
h2f_RDATA[28] => hps2fpga.I_RDATA28
h2f_RDATA[29] => hps2fpga.I_RDATA29
h2f_RDATA[30] => hps2fpga.I_RDATA30
h2f_RDATA[31] => hps2fpga.I_RDATA31
h2f_RRESP[0] => hps2fpga.I_RRESP
h2f_RRESP[1] => hps2fpga.I_RRESP1
h2f_RLAST[0] => hps2fpga.I_RLAST
h2f_RVALID[0] => hps2fpga.I_RVALID
h2f_RREADY[0] <= hps2fpga.O_RREADY


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io
mem_a[0] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[1] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[2] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[3] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[4] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[5] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[6] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[7] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[8] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[9] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[10] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[11] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_a[12] <= ulight_fifo_hps_0_hps_io_border:border.mem_a
mem_ba[0] <= ulight_fifo_hps_0_hps_io_border:border.mem_ba
mem_ba[1] <= ulight_fifo_hps_0_hps_io_border:border.mem_ba
mem_ba[2] <= ulight_fifo_hps_0_hps_io_border:border.mem_ba
mem_ck <= ulight_fifo_hps_0_hps_io_border:border.mem_ck
mem_ck_n <= ulight_fifo_hps_0_hps_io_border:border.mem_ck_n
mem_cke <= ulight_fifo_hps_0_hps_io_border:border.mem_cke
mem_cs_n <= ulight_fifo_hps_0_hps_io_border:border.mem_cs_n
mem_ras_n <= ulight_fifo_hps_0_hps_io_border:border.mem_ras_n
mem_cas_n <= ulight_fifo_hps_0_hps_io_border:border.mem_cas_n
mem_we_n <= ulight_fifo_hps_0_hps_io_border:border.mem_we_n
mem_reset_n <= ulight_fifo_hps_0_hps_io_border:border.mem_reset_n
mem_dq[0] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[1] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[2] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[3] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[4] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[5] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[6] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dq[7] <> ulight_fifo_hps_0_hps_io_border:border.mem_dq
mem_dqs <> ulight_fifo_hps_0_hps_io_border:border.mem_dqs
mem_dqs_n <> ulight_fifo_hps_0_hps_io_border:border.mem_dqs_n
mem_odt <= ulight_fifo_hps_0_hps_io_border:border.mem_odt
mem_dm <= ulight_fifo_hps_0_hps_io_border:border.mem_dm
oct_rzqin => oct_rzqin.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border
mem_a[0] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[1] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[2] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[3] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[4] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[5] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[6] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[7] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[8] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[9] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[10] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[11] <= hps_sdram:hps_sdram_inst.mem_a
mem_a[12] <= hps_sdram:hps_sdram_inst.mem_a
mem_ba[0] <= hps_sdram:hps_sdram_inst.mem_ba
mem_ba[1] <= hps_sdram:hps_sdram_inst.mem_ba
mem_ba[2] <= hps_sdram:hps_sdram_inst.mem_ba
mem_ck[0] <= hps_sdram:hps_sdram_inst.mem_ck
mem_ck_n[0] <= hps_sdram:hps_sdram_inst.mem_ck_n
mem_cke[0] <= hps_sdram:hps_sdram_inst.mem_cke
mem_cs_n[0] <= hps_sdram:hps_sdram_inst.mem_cs_n
mem_ras_n[0] <= hps_sdram:hps_sdram_inst.mem_ras_n
mem_cas_n[0] <= hps_sdram:hps_sdram_inst.mem_cas_n
mem_we_n[0] <= hps_sdram:hps_sdram_inst.mem_we_n
mem_reset_n[0] <= hps_sdram:hps_sdram_inst.mem_reset_n
mem_dq[0] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[1] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[2] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[3] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[4] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[5] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[6] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dq[7] <> hps_sdram:hps_sdram_inst.mem_dq
mem_dqs[0] <> hps_sdram:hps_sdram_inst.mem_dqs
mem_dqs_n[0] <> hps_sdram:hps_sdram_inst.mem_dqs_n
mem_odt[0] <= hps_sdram:hps_sdram_inst.mem_odt
mem_dm[0] <= hps_sdram:hps_sdram_inst.mem_dm
oct_rzqin[0] => oct_rzqin[0].IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst
pll_ref_clk => pll_ref_clk.IN1
global_reset_n => global_reset_n.IN2
soft_reset_n => soft_reset_n.IN1
mem_a[0] <= hps_sdram_p0:p0.mem_a
mem_a[1] <= hps_sdram_p0:p0.mem_a
mem_a[2] <= hps_sdram_p0:p0.mem_a
mem_a[3] <= hps_sdram_p0:p0.mem_a
mem_a[4] <= hps_sdram_p0:p0.mem_a
mem_a[5] <= hps_sdram_p0:p0.mem_a
mem_a[6] <= hps_sdram_p0:p0.mem_a
mem_a[7] <= hps_sdram_p0:p0.mem_a
mem_a[8] <= hps_sdram_p0:p0.mem_a
mem_a[9] <= hps_sdram_p0:p0.mem_a
mem_a[10] <= hps_sdram_p0:p0.mem_a
mem_a[11] <= hps_sdram_p0:p0.mem_a
mem_a[12] <= hps_sdram_p0:p0.mem_a
mem_ba[0] <= hps_sdram_p0:p0.mem_ba
mem_ba[1] <= hps_sdram_p0:p0.mem_ba
mem_ba[2] <= hps_sdram_p0:p0.mem_ba
mem_ck[0] <= hps_sdram_p0:p0.mem_ck
mem_ck_n[0] <= hps_sdram_p0:p0.mem_ck_n
mem_cke[0] <= hps_sdram_p0:p0.mem_cke
mem_cs_n[0] <= hps_sdram_p0:p0.mem_cs_n
mem_dm[0] <= hps_sdram_p0:p0.mem_dm
mem_ras_n[0] <= hps_sdram_p0:p0.mem_ras_n
mem_cas_n[0] <= hps_sdram_p0:p0.mem_cas_n
mem_we_n[0] <= hps_sdram_p0:p0.mem_we_n
mem_reset_n <= hps_sdram_p0:p0.mem_reset_n
mem_dq[0] <> hps_sdram_p0:p0.mem_dq
mem_dq[1] <> hps_sdram_p0:p0.mem_dq
mem_dq[2] <> hps_sdram_p0:p0.mem_dq
mem_dq[3] <> hps_sdram_p0:p0.mem_dq
mem_dq[4] <> hps_sdram_p0:p0.mem_dq
mem_dq[5] <> hps_sdram_p0:p0.mem_dq
mem_dq[6] <> hps_sdram_p0:p0.mem_dq
mem_dq[7] <> hps_sdram_p0:p0.mem_dq
mem_dqs[0] <> hps_sdram_p0:p0.mem_dqs
mem_dqs_n[0] <> hps_sdram_p0:p0.mem_dqs_n
mem_odt[0] <= hps_sdram_p0:p0.mem_odt
oct_rzqin => oct_rzqin.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_pll:pll
global_reset_n => ~NO_FANOUT~
pll_ref_clk => ~NO_FANOUT~
pll_mem_clk <= pll.CLK
pll_write_clk <= pll.CLK1
pll_write_clk_pre_phy_clk <= pll.CLK1
pll_addr_cmd_clk <= pll.CLK
pll_avl_clk <= pll.CLK
pll_config_clk <= pll.CLK
pll_locked <= <GND>
afi_clk <= pll.CLK
pll_mem_phy_clk <= pll.CLK
afi_phy_clk <= pll.CLK
pll_avl_phy_clk <= pll.CLK
afi_half_clk <= pll.CLK


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0
global_reset_n => global_reset_n.IN1
soft_reset_n => comb.IN0
csr_soft_reset_req => comb.IN1
parallelterminationcontrol[0] => parallelterminationcontrol[0].IN1
parallelterminationcontrol[1] => parallelterminationcontrol[1].IN1
parallelterminationcontrol[2] => parallelterminationcontrol[2].IN1
parallelterminationcontrol[3] => parallelterminationcontrol[3].IN1
parallelterminationcontrol[4] => parallelterminationcontrol[4].IN1
parallelterminationcontrol[5] => parallelterminationcontrol[5].IN1
parallelterminationcontrol[6] => parallelterminationcontrol[6].IN1
parallelterminationcontrol[7] => parallelterminationcontrol[7].IN1
parallelterminationcontrol[8] => parallelterminationcontrol[8].IN1
parallelterminationcontrol[9] => parallelterminationcontrol[9].IN1
parallelterminationcontrol[10] => parallelterminationcontrol[10].IN1
parallelterminationcontrol[11] => parallelterminationcontrol[11].IN1
parallelterminationcontrol[12] => parallelterminationcontrol[12].IN1
parallelterminationcontrol[13] => parallelterminationcontrol[13].IN1
parallelterminationcontrol[14] => parallelterminationcontrol[14].IN1
parallelterminationcontrol[15] => parallelterminationcontrol[15].IN1
seriesterminationcontrol[0] => seriesterminationcontrol[0].IN1
seriesterminationcontrol[1] => seriesterminationcontrol[1].IN1
seriesterminationcontrol[2] => seriesterminationcontrol[2].IN1
seriesterminationcontrol[3] => seriesterminationcontrol[3].IN1
seriesterminationcontrol[4] => seriesterminationcontrol[4].IN1
seriesterminationcontrol[5] => seriesterminationcontrol[5].IN1
seriesterminationcontrol[6] => seriesterminationcontrol[6].IN1
seriesterminationcontrol[7] => seriesterminationcontrol[7].IN1
seriesterminationcontrol[8] => seriesterminationcontrol[8].IN1
seriesterminationcontrol[9] => seriesterminationcontrol[9].IN1
seriesterminationcontrol[10] => seriesterminationcontrol[10].IN1
seriesterminationcontrol[11] => seriesterminationcontrol[11].IN1
seriesterminationcontrol[12] => seriesterminationcontrol[12].IN1
seriesterminationcontrol[13] => seriesterminationcontrol[13].IN1
seriesterminationcontrol[14] => seriesterminationcontrol[14].IN1
seriesterminationcontrol[15] => seriesterminationcontrol[15].IN1
pll_mem_clk => pll_mem_clk.IN1
pll_write_clk => pll_dqs_ena_clk.IN2
pll_write_clk_pre_phy_clk => pll_write_clk_pre_phy_clk.IN1
pll_addr_cmd_clk => pll_addr_cmd_clk.IN1
pll_avl_clk => pll_avl_clk.IN1
pll_config_clk => pll_config_clk.IN1
pll_mem_phy_clk => pll_mem_phy_clk.IN1
afi_phy_clk => afi_phy_clk.IN1
pll_avl_phy_clk => pll_avl_phy_clk.IN1
pll_locked => pll_locked.IN1
dll_pll_locked <= hps_sdram_p0_acv_hard_memphy:umemphy.dll_pll_locked
dll_delayctrl[0] => dll_delayctrl[0].IN1
dll_delayctrl[1] => dll_delayctrl[1].IN1
dll_delayctrl[2] => dll_delayctrl[2].IN1
dll_delayctrl[3] => dll_delayctrl[3].IN1
dll_delayctrl[4] => dll_delayctrl[4].IN1
dll_delayctrl[5] => dll_delayctrl[5].IN1
dll_delayctrl[6] => dll_delayctrl[6].IN1
dll_clk <= hps_sdram_p0_acv_hard_memphy:umemphy.dll_clk
ctl_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.ctl_reset_n
afi_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_reset_n
afi_reset_export_n <= hps_sdram_p0_acv_hard_memphy:umemphy.ctl_reset_export_n
afi_clk => afi_clk.IN2
afi_half_clk => afi_half_clk.IN1
afi_addr[0] => afi_addr[0].IN1
afi_addr[1] => afi_addr[1].IN1
afi_addr[2] => afi_addr[2].IN1
afi_addr[3] => afi_addr[3].IN1
afi_addr[4] => afi_addr[4].IN1
afi_addr[5] => afi_addr[5].IN1
afi_addr[6] => afi_addr[6].IN1
afi_addr[7] => afi_addr[7].IN1
afi_addr[8] => afi_addr[8].IN1
afi_addr[9] => afi_addr[9].IN1
afi_addr[10] => afi_addr[10].IN1
afi_addr[11] => afi_addr[11].IN1
afi_addr[12] => afi_addr[12].IN1
afi_addr[13] => afi_addr[13].IN1
afi_addr[14] => afi_addr[14].IN1
afi_addr[15] => afi_addr[15].IN1
afi_addr[16] => afi_addr[16].IN1
afi_addr[17] => afi_addr[17].IN1
afi_addr[18] => afi_addr[18].IN1
afi_addr[19] => afi_addr[19].IN1
afi_ba[0] => afi_ba[0].IN1
afi_ba[1] => afi_ba[1].IN1
afi_ba[2] => afi_ba[2].IN1
afi_cke[0] => afi_cke[0].IN1
afi_cke[1] => afi_cke[1].IN1
afi_cs_n[0] => afi_cs_n[0].IN1
afi_cs_n[1] => afi_cs_n[1].IN1
afi_ras_n[0] => afi_ras_n[0].IN1
afi_we_n[0] => afi_we_n[0].IN1
afi_cas_n[0] => afi_cas_n[0].IN1
afi_rst_n[0] => afi_rst_n[0].IN1
afi_odt[0] => afi_odt[0].IN1
afi_odt[1] => afi_odt[1].IN1
afi_mem_clk_disable[0] => afi_mem_clk_disable[0].IN1
afi_dqs_burst[0] => afi_dqs_burst[0].IN1
afi_dqs_burst[1] => afi_dqs_burst[1].IN1
afi_dqs_burst[2] => afi_dqs_burst[2].IN1
afi_dqs_burst[3] => afi_dqs_burst[3].IN1
afi_dqs_burst[4] => afi_dqs_burst[4].IN1
afi_wdata[0] => afi_wdata[0].IN1
afi_wdata[1] => afi_wdata[1].IN1
afi_wdata[2] => afi_wdata[2].IN1
afi_wdata[3] => afi_wdata[3].IN1
afi_wdata[4] => afi_wdata[4].IN1
afi_wdata[5] => afi_wdata[5].IN1
afi_wdata[6] => afi_wdata[6].IN1
afi_wdata[7] => afi_wdata[7].IN1
afi_wdata[8] => afi_wdata[8].IN1
afi_wdata[9] => afi_wdata[9].IN1
afi_wdata[10] => afi_wdata[10].IN1
afi_wdata[11] => afi_wdata[11].IN1
afi_wdata[12] => afi_wdata[12].IN1
afi_wdata[13] => afi_wdata[13].IN1
afi_wdata[14] => afi_wdata[14].IN1
afi_wdata[15] => afi_wdata[15].IN1
afi_wdata[16] => afi_wdata[16].IN1
afi_wdata[17] => afi_wdata[17].IN1
afi_wdata[18] => afi_wdata[18].IN1
afi_wdata[19] => afi_wdata[19].IN1
afi_wdata[20] => afi_wdata[20].IN1
afi_wdata[21] => afi_wdata[21].IN1
afi_wdata[22] => afi_wdata[22].IN1
afi_wdata[23] => afi_wdata[23].IN1
afi_wdata[24] => afi_wdata[24].IN1
afi_wdata[25] => afi_wdata[25].IN1
afi_wdata[26] => afi_wdata[26].IN1
afi_wdata[27] => afi_wdata[27].IN1
afi_wdata[28] => afi_wdata[28].IN1
afi_wdata[29] => afi_wdata[29].IN1
afi_wdata[30] => afi_wdata[30].IN1
afi_wdata[31] => afi_wdata[31].IN1
afi_wdata[32] => afi_wdata[32].IN1
afi_wdata[33] => afi_wdata[33].IN1
afi_wdata[34] => afi_wdata[34].IN1
afi_wdata[35] => afi_wdata[35].IN1
afi_wdata[36] => afi_wdata[36].IN1
afi_wdata[37] => afi_wdata[37].IN1
afi_wdata[38] => afi_wdata[38].IN1
afi_wdata[39] => afi_wdata[39].IN1
afi_wdata[40] => afi_wdata[40].IN1
afi_wdata[41] => afi_wdata[41].IN1
afi_wdata[42] => afi_wdata[42].IN1
afi_wdata[43] => afi_wdata[43].IN1
afi_wdata[44] => afi_wdata[44].IN1
afi_wdata[45] => afi_wdata[45].IN1
afi_wdata[46] => afi_wdata[46].IN1
afi_wdata[47] => afi_wdata[47].IN1
afi_wdata[48] => afi_wdata[48].IN1
afi_wdata[49] => afi_wdata[49].IN1
afi_wdata[50] => afi_wdata[50].IN1
afi_wdata[51] => afi_wdata[51].IN1
afi_wdata[52] => afi_wdata[52].IN1
afi_wdata[53] => afi_wdata[53].IN1
afi_wdata[54] => afi_wdata[54].IN1
afi_wdata[55] => afi_wdata[55].IN1
afi_wdata[56] => afi_wdata[56].IN1
afi_wdata[57] => afi_wdata[57].IN1
afi_wdata[58] => afi_wdata[58].IN1
afi_wdata[59] => afi_wdata[59].IN1
afi_wdata[60] => afi_wdata[60].IN1
afi_wdata[61] => afi_wdata[61].IN1
afi_wdata[62] => afi_wdata[62].IN1
afi_wdata[63] => afi_wdata[63].IN1
afi_wdata[64] => afi_wdata[64].IN1
afi_wdata[65] => afi_wdata[65].IN1
afi_wdata[66] => afi_wdata[66].IN1
afi_wdata[67] => afi_wdata[67].IN1
afi_wdata[68] => afi_wdata[68].IN1
afi_wdata[69] => afi_wdata[69].IN1
afi_wdata[70] => afi_wdata[70].IN1
afi_wdata[71] => afi_wdata[71].IN1
afi_wdata[72] => afi_wdata[72].IN1
afi_wdata[73] => afi_wdata[73].IN1
afi_wdata[74] => afi_wdata[74].IN1
afi_wdata[75] => afi_wdata[75].IN1
afi_wdata[76] => afi_wdata[76].IN1
afi_wdata[77] => afi_wdata[77].IN1
afi_wdata[78] => afi_wdata[78].IN1
afi_wdata[79] => afi_wdata[79].IN1
afi_wdata_valid[0] => afi_wdata_valid[0].IN1
afi_wdata_valid[1] => afi_wdata_valid[1].IN1
afi_wdata_valid[2] => afi_wdata_valid[2].IN1
afi_wdata_valid[3] => afi_wdata_valid[3].IN1
afi_wdata_valid[4] => afi_wdata_valid[4].IN1
afi_dm[0] => afi_dm[0].IN1
afi_dm[1] => afi_dm[1].IN1
afi_dm[2] => afi_dm[2].IN1
afi_dm[3] => afi_dm[3].IN1
afi_dm[4] => afi_dm[4].IN1
afi_dm[5] => afi_dm[5].IN1
afi_dm[6] => afi_dm[6].IN1
afi_dm[7] => afi_dm[7].IN1
afi_dm[8] => afi_dm[8].IN1
afi_dm[9] => afi_dm[9].IN1
afi_rdata[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[5] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[6] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[7] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[8] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[9] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[10] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[11] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[12] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[13] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[14] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[15] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[16] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[17] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[18] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[19] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[20] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[21] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[22] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[23] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[24] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[25] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[26] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[27] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[28] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[29] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[30] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[31] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[32] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[33] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[34] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[35] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[36] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[37] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[38] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[39] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[40] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[41] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[42] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[43] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[44] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[45] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[46] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[47] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[48] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[49] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[50] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[51] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[52] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[53] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[54] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[55] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[56] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[57] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[58] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[59] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[60] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[61] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[62] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[63] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[64] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[65] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[66] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[67] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[68] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[69] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[70] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[71] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[72] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[73] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[74] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[75] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[76] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[77] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[78] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata[79] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata
afi_rdata_en[0] => afi_rdata_en[0].IN1
afi_rdata_en[1] => afi_rdata_en[1].IN1
afi_rdata_en[2] => afi_rdata_en[2].IN1
afi_rdata_en[3] => afi_rdata_en[3].IN1
afi_rdata_en[4] => afi_rdata_en[4].IN1
afi_rdata_en_full[0] => afi_rdata_en_full[0].IN1
afi_rdata_en_full[1] => afi_rdata_en_full[1].IN1
afi_rdata_en_full[2] => afi_rdata_en_full[2].IN1
afi_rdata_en_full[3] => afi_rdata_en_full[3].IN1
afi_rdata_en_full[4] => afi_rdata_en_full[4].IN1
afi_rdata_valid[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rdata_valid
afi_cal_success <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_cal_success
afi_cal_fail <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_cal_fail
afi_wlat[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_wlat
afi_wlat[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_wlat
afi_wlat[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_wlat
afi_wlat[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_wlat
afi_rlat[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rlat
afi_rlat[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rlat
afi_rlat[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rlat
afi_rlat[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rlat
afi_rlat[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.afi_rlat
avl_read => avl_read.IN1
avl_write => avl_write.IN1
avl_address[0] => avl_address[0].IN1
avl_address[1] => avl_address[1].IN1
avl_address[2] => avl_address[2].IN1
avl_address[3] => avl_address[3].IN1
avl_address[4] => avl_address[4].IN1
avl_address[5] => avl_address[5].IN1
avl_address[6] => avl_address[6].IN1
avl_address[7] => avl_address[7].IN1
avl_address[8] => avl_address[8].IN1
avl_address[9] => avl_address[9].IN1
avl_address[10] => avl_address[10].IN1
avl_address[11] => avl_address[11].IN1
avl_address[12] => avl_address[12].IN1
avl_address[13] => avl_address[13].IN1
avl_address[14] => avl_address[14].IN1
avl_address[15] => avl_address[15].IN1
avl_writedata[0] => avl_writedata[0].IN1
avl_writedata[1] => avl_writedata[1].IN1
avl_writedata[2] => avl_writedata[2].IN1
avl_writedata[3] => avl_writedata[3].IN1
avl_writedata[4] => avl_writedata[4].IN1
avl_writedata[5] => avl_writedata[5].IN1
avl_writedata[6] => avl_writedata[6].IN1
avl_writedata[7] => avl_writedata[7].IN1
avl_writedata[8] => avl_writedata[8].IN1
avl_writedata[9] => avl_writedata[9].IN1
avl_writedata[10] => avl_writedata[10].IN1
avl_writedata[11] => avl_writedata[11].IN1
avl_writedata[12] => avl_writedata[12].IN1
avl_writedata[13] => avl_writedata[13].IN1
avl_writedata[14] => avl_writedata[14].IN1
avl_writedata[15] => avl_writedata[15].IN1
avl_writedata[16] => avl_writedata[16].IN1
avl_writedata[17] => avl_writedata[17].IN1
avl_writedata[18] => avl_writedata[18].IN1
avl_writedata[19] => avl_writedata[19].IN1
avl_writedata[20] => avl_writedata[20].IN1
avl_writedata[21] => avl_writedata[21].IN1
avl_writedata[22] => avl_writedata[22].IN1
avl_writedata[23] => avl_writedata[23].IN1
avl_writedata[24] => avl_writedata[24].IN1
avl_writedata[25] => avl_writedata[25].IN1
avl_writedata[26] => avl_writedata[26].IN1
avl_writedata[27] => avl_writedata[27].IN1
avl_writedata[28] => avl_writedata[28].IN1
avl_writedata[29] => avl_writedata[29].IN1
avl_writedata[30] => avl_writedata[30].IN1
avl_writedata[31] => avl_writedata[31].IN1
avl_waitrequest <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_waitrequest
avl_readdata[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[5] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[6] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[7] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[8] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[9] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[10] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[11] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[12] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[13] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[14] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[15] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[16] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[17] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[18] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[19] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[20] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[21] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[22] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[23] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[24] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[25] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[26] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[27] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[28] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[29] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[30] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
avl_readdata[31] <= hps_sdram_p0_acv_hard_memphy:umemphy.avl_readdata
cfg_addlat[0] => cfg_addlat[0].IN1
cfg_addlat[1] => cfg_addlat[1].IN1
cfg_addlat[2] => cfg_addlat[2].IN1
cfg_addlat[3] => cfg_addlat[3].IN1
cfg_addlat[4] => cfg_addlat[4].IN1
cfg_addlat[5] => cfg_addlat[5].IN1
cfg_addlat[6] => cfg_addlat[6].IN1
cfg_addlat[7] => cfg_addlat[7].IN1
cfg_bankaddrwidth[0] => cfg_bankaddrwidth[0].IN1
cfg_bankaddrwidth[1] => cfg_bankaddrwidth[1].IN1
cfg_bankaddrwidth[2] => cfg_bankaddrwidth[2].IN1
cfg_bankaddrwidth[3] => cfg_bankaddrwidth[3].IN1
cfg_bankaddrwidth[4] => cfg_bankaddrwidth[4].IN1
cfg_bankaddrwidth[5] => cfg_bankaddrwidth[5].IN1
cfg_bankaddrwidth[6] => cfg_bankaddrwidth[6].IN1
cfg_bankaddrwidth[7] => cfg_bankaddrwidth[7].IN1
cfg_caswrlat[0] => cfg_caswrlat[0].IN1
cfg_caswrlat[1] => cfg_caswrlat[1].IN1
cfg_caswrlat[2] => cfg_caswrlat[2].IN1
cfg_caswrlat[3] => cfg_caswrlat[3].IN1
cfg_caswrlat[4] => cfg_caswrlat[4].IN1
cfg_caswrlat[5] => cfg_caswrlat[5].IN1
cfg_caswrlat[6] => cfg_caswrlat[6].IN1
cfg_caswrlat[7] => cfg_caswrlat[7].IN1
cfg_coladdrwidth[0] => cfg_coladdrwidth[0].IN1
cfg_coladdrwidth[1] => cfg_coladdrwidth[1].IN1
cfg_coladdrwidth[2] => cfg_coladdrwidth[2].IN1
cfg_coladdrwidth[3] => cfg_coladdrwidth[3].IN1
cfg_coladdrwidth[4] => cfg_coladdrwidth[4].IN1
cfg_coladdrwidth[5] => cfg_coladdrwidth[5].IN1
cfg_coladdrwidth[6] => cfg_coladdrwidth[6].IN1
cfg_coladdrwidth[7] => cfg_coladdrwidth[7].IN1
cfg_csaddrwidth[0] => cfg_csaddrwidth[0].IN1
cfg_csaddrwidth[1] => cfg_csaddrwidth[1].IN1
cfg_csaddrwidth[2] => cfg_csaddrwidth[2].IN1
cfg_csaddrwidth[3] => cfg_csaddrwidth[3].IN1
cfg_csaddrwidth[4] => cfg_csaddrwidth[4].IN1
cfg_csaddrwidth[5] => cfg_csaddrwidth[5].IN1
cfg_csaddrwidth[6] => cfg_csaddrwidth[6].IN1
cfg_csaddrwidth[7] => cfg_csaddrwidth[7].IN1
cfg_devicewidth[0] => cfg_devicewidth[0].IN1
cfg_devicewidth[1] => cfg_devicewidth[1].IN1
cfg_devicewidth[2] => cfg_devicewidth[2].IN1
cfg_devicewidth[3] => cfg_devicewidth[3].IN1
cfg_devicewidth[4] => cfg_devicewidth[4].IN1
cfg_devicewidth[5] => cfg_devicewidth[5].IN1
cfg_devicewidth[6] => cfg_devicewidth[6].IN1
cfg_devicewidth[7] => cfg_devicewidth[7].IN1
cfg_dramconfig[0] => cfg_dramconfig[0].IN1
cfg_dramconfig[1] => cfg_dramconfig[1].IN1
cfg_dramconfig[2] => cfg_dramconfig[2].IN1
cfg_dramconfig[3] => cfg_dramconfig[3].IN1
cfg_dramconfig[4] => cfg_dramconfig[4].IN1
cfg_dramconfig[5] => cfg_dramconfig[5].IN1
cfg_dramconfig[6] => cfg_dramconfig[6].IN1
cfg_dramconfig[7] => cfg_dramconfig[7].IN1
cfg_dramconfig[8] => cfg_dramconfig[8].IN1
cfg_dramconfig[9] => cfg_dramconfig[9].IN1
cfg_dramconfig[10] => cfg_dramconfig[10].IN1
cfg_dramconfig[11] => cfg_dramconfig[11].IN1
cfg_dramconfig[12] => cfg_dramconfig[12].IN1
cfg_dramconfig[13] => cfg_dramconfig[13].IN1
cfg_dramconfig[14] => cfg_dramconfig[14].IN1
cfg_dramconfig[15] => cfg_dramconfig[15].IN1
cfg_dramconfig[16] => cfg_dramconfig[16].IN1
cfg_dramconfig[17] => cfg_dramconfig[17].IN1
cfg_dramconfig[18] => cfg_dramconfig[18].IN1
cfg_dramconfig[19] => cfg_dramconfig[19].IN1
cfg_dramconfig[20] => cfg_dramconfig[20].IN1
cfg_dramconfig[21] => cfg_dramconfig[21].IN1
cfg_dramconfig[22] => cfg_dramconfig[22].IN1
cfg_dramconfig[23] => cfg_dramconfig[23].IN1
cfg_interfacewidth[0] => cfg_interfacewidth[0].IN1
cfg_interfacewidth[1] => cfg_interfacewidth[1].IN1
cfg_interfacewidth[2] => cfg_interfacewidth[2].IN1
cfg_interfacewidth[3] => cfg_interfacewidth[3].IN1
cfg_interfacewidth[4] => cfg_interfacewidth[4].IN1
cfg_interfacewidth[5] => cfg_interfacewidth[5].IN1
cfg_interfacewidth[6] => cfg_interfacewidth[6].IN1
cfg_interfacewidth[7] => cfg_interfacewidth[7].IN1
cfg_rowaddrwidth[0] => cfg_rowaddrwidth[0].IN1
cfg_rowaddrwidth[1] => cfg_rowaddrwidth[1].IN1
cfg_rowaddrwidth[2] => cfg_rowaddrwidth[2].IN1
cfg_rowaddrwidth[3] => cfg_rowaddrwidth[3].IN1
cfg_rowaddrwidth[4] => cfg_rowaddrwidth[4].IN1
cfg_rowaddrwidth[5] => cfg_rowaddrwidth[5].IN1
cfg_rowaddrwidth[6] => cfg_rowaddrwidth[6].IN1
cfg_rowaddrwidth[7] => cfg_rowaddrwidth[7].IN1
cfg_tcl[0] => cfg_tcl[0].IN1
cfg_tcl[1] => cfg_tcl[1].IN1
cfg_tcl[2] => cfg_tcl[2].IN1
cfg_tcl[3] => cfg_tcl[3].IN1
cfg_tcl[4] => cfg_tcl[4].IN1
cfg_tcl[5] => cfg_tcl[5].IN1
cfg_tcl[6] => cfg_tcl[6].IN1
cfg_tcl[7] => cfg_tcl[7].IN1
cfg_tmrd[0] => cfg_tmrd[0].IN1
cfg_tmrd[1] => cfg_tmrd[1].IN1
cfg_tmrd[2] => cfg_tmrd[2].IN1
cfg_tmrd[3] => cfg_tmrd[3].IN1
cfg_tmrd[4] => cfg_tmrd[4].IN1
cfg_tmrd[5] => cfg_tmrd[5].IN1
cfg_tmrd[6] => cfg_tmrd[6].IN1
cfg_tmrd[7] => cfg_tmrd[7].IN1
cfg_trefi[0] => cfg_trefi[0].IN1
cfg_trefi[1] => cfg_trefi[1].IN1
cfg_trefi[2] => cfg_trefi[2].IN1
cfg_trefi[3] => cfg_trefi[3].IN1
cfg_trefi[4] => cfg_trefi[4].IN1
cfg_trefi[5] => cfg_trefi[5].IN1
cfg_trefi[6] => cfg_trefi[6].IN1
cfg_trefi[7] => cfg_trefi[7].IN1
cfg_trefi[8] => cfg_trefi[8].IN1
cfg_trefi[9] => cfg_trefi[9].IN1
cfg_trefi[10] => cfg_trefi[10].IN1
cfg_trefi[11] => cfg_trefi[11].IN1
cfg_trefi[12] => cfg_trefi[12].IN1
cfg_trefi[13] => cfg_trefi[13].IN1
cfg_trefi[14] => cfg_trefi[14].IN1
cfg_trefi[15] => cfg_trefi[15].IN1
cfg_trfc[0] => cfg_trfc[0].IN1
cfg_trfc[1] => cfg_trfc[1].IN1
cfg_trfc[2] => cfg_trfc[2].IN1
cfg_trfc[3] => cfg_trfc[3].IN1
cfg_trfc[4] => cfg_trfc[4].IN1
cfg_trfc[5] => cfg_trfc[5].IN1
cfg_trfc[6] => cfg_trfc[6].IN1
cfg_trfc[7] => cfg_trfc[7].IN1
cfg_twr[0] => cfg_twr[0].IN1
cfg_twr[1] => cfg_twr[1].IN1
cfg_twr[2] => cfg_twr[2].IN1
cfg_twr[3] => cfg_twr[3].IN1
cfg_twr[4] => cfg_twr[4].IN1
cfg_twr[5] => cfg_twr[5].IN1
cfg_twr[6] => cfg_twr[6].IN1
cfg_twr[7] => cfg_twr[7].IN1
io_intaddrdout[0] => io_intaddrdout[0].IN1
io_intaddrdout[1] => io_intaddrdout[1].IN1
io_intaddrdout[2] => io_intaddrdout[2].IN1
io_intaddrdout[3] => io_intaddrdout[3].IN1
io_intaddrdout[4] => io_intaddrdout[4].IN1
io_intaddrdout[5] => io_intaddrdout[5].IN1
io_intaddrdout[6] => io_intaddrdout[6].IN1
io_intaddrdout[7] => io_intaddrdout[7].IN1
io_intaddrdout[8] => io_intaddrdout[8].IN1
io_intaddrdout[9] => io_intaddrdout[9].IN1
io_intaddrdout[10] => io_intaddrdout[10].IN1
io_intaddrdout[11] => io_intaddrdout[11].IN1
io_intaddrdout[12] => io_intaddrdout[12].IN1
io_intaddrdout[13] => io_intaddrdout[13].IN1
io_intaddrdout[14] => io_intaddrdout[14].IN1
io_intaddrdout[15] => io_intaddrdout[15].IN1
io_intaddrdout[16] => io_intaddrdout[16].IN1
io_intaddrdout[17] => io_intaddrdout[17].IN1
io_intaddrdout[18] => io_intaddrdout[18].IN1
io_intaddrdout[19] => io_intaddrdout[19].IN1
io_intaddrdout[20] => io_intaddrdout[20].IN1
io_intaddrdout[21] => io_intaddrdout[21].IN1
io_intaddrdout[22] => io_intaddrdout[22].IN1
io_intaddrdout[23] => io_intaddrdout[23].IN1
io_intaddrdout[24] => io_intaddrdout[24].IN1
io_intaddrdout[25] => io_intaddrdout[25].IN1
io_intaddrdout[26] => io_intaddrdout[26].IN1
io_intaddrdout[27] => io_intaddrdout[27].IN1
io_intaddrdout[28] => io_intaddrdout[28].IN1
io_intaddrdout[29] => io_intaddrdout[29].IN1
io_intaddrdout[30] => io_intaddrdout[30].IN1
io_intaddrdout[31] => io_intaddrdout[31].IN1
io_intaddrdout[32] => io_intaddrdout[32].IN1
io_intaddrdout[33] => io_intaddrdout[33].IN1
io_intaddrdout[34] => io_intaddrdout[34].IN1
io_intaddrdout[35] => io_intaddrdout[35].IN1
io_intaddrdout[36] => io_intaddrdout[36].IN1
io_intaddrdout[37] => io_intaddrdout[37].IN1
io_intaddrdout[38] => io_intaddrdout[38].IN1
io_intaddrdout[39] => io_intaddrdout[39].IN1
io_intaddrdout[40] => io_intaddrdout[40].IN1
io_intaddrdout[41] => io_intaddrdout[41].IN1
io_intaddrdout[42] => io_intaddrdout[42].IN1
io_intaddrdout[43] => io_intaddrdout[43].IN1
io_intaddrdout[44] => io_intaddrdout[44].IN1
io_intaddrdout[45] => io_intaddrdout[45].IN1
io_intaddrdout[46] => io_intaddrdout[46].IN1
io_intaddrdout[47] => io_intaddrdout[47].IN1
io_intaddrdout[48] => io_intaddrdout[48].IN1
io_intaddrdout[49] => io_intaddrdout[49].IN1
io_intaddrdout[50] => io_intaddrdout[50].IN1
io_intaddrdout[51] => io_intaddrdout[51].IN1
io_intaddrdout[52] => io_intaddrdout[52].IN1
io_intaddrdout[53] => io_intaddrdout[53].IN1
io_intaddrdout[54] => io_intaddrdout[54].IN1
io_intaddrdout[55] => io_intaddrdout[55].IN1
io_intaddrdout[56] => io_intaddrdout[56].IN1
io_intaddrdout[57] => io_intaddrdout[57].IN1
io_intaddrdout[58] => io_intaddrdout[58].IN1
io_intaddrdout[59] => io_intaddrdout[59].IN1
io_intaddrdout[60] => io_intaddrdout[60].IN1
io_intaddrdout[61] => io_intaddrdout[61].IN1
io_intaddrdout[62] => io_intaddrdout[62].IN1
io_intaddrdout[63] => io_intaddrdout[63].IN1
io_intbadout[0] => io_intbadout[0].IN1
io_intbadout[1] => io_intbadout[1].IN1
io_intbadout[2] => io_intbadout[2].IN1
io_intbadout[3] => io_intbadout[3].IN1
io_intbadout[4] => io_intbadout[4].IN1
io_intbadout[5] => io_intbadout[5].IN1
io_intbadout[6] => io_intbadout[6].IN1
io_intbadout[7] => io_intbadout[7].IN1
io_intbadout[8] => io_intbadout[8].IN1
io_intbadout[9] => io_intbadout[9].IN1
io_intbadout[10] => io_intbadout[10].IN1
io_intbadout[11] => io_intbadout[11].IN1
io_intcasndout[0] => io_intcasndout[0].IN1
io_intcasndout[1] => io_intcasndout[1].IN1
io_intcasndout[2] => io_intcasndout[2].IN1
io_intcasndout[3] => io_intcasndout[3].IN1
io_intckdout[0] => io_intckdout[0].IN1
io_intckdout[1] => io_intckdout[1].IN1
io_intckdout[2] => io_intckdout[2].IN1
io_intckdout[3] => io_intckdout[3].IN1
io_intckedout[0] => io_intckedout[0].IN1
io_intckedout[1] => io_intckedout[1].IN1
io_intckedout[2] => io_intckedout[2].IN1
io_intckedout[3] => io_intckedout[3].IN1
io_intckedout[4] => io_intckedout[4].IN1
io_intckedout[5] => io_intckedout[5].IN1
io_intckedout[6] => io_intckedout[6].IN1
io_intckedout[7] => io_intckedout[7].IN1
io_intckndout[0] => io_intckndout[0].IN1
io_intckndout[1] => io_intckndout[1].IN1
io_intckndout[2] => io_intckndout[2].IN1
io_intckndout[3] => io_intckndout[3].IN1
io_intcsndout[0] => io_intcsndout[0].IN1
io_intcsndout[1] => io_intcsndout[1].IN1
io_intcsndout[2] => io_intcsndout[2].IN1
io_intcsndout[3] => io_intcsndout[3].IN1
io_intcsndout[4] => io_intcsndout[4].IN1
io_intcsndout[5] => io_intcsndout[5].IN1
io_intcsndout[6] => io_intcsndout[6].IN1
io_intcsndout[7] => io_intcsndout[7].IN1
io_intdmdout[0] => io_intdmdout[0].IN1
io_intdmdout[1] => io_intdmdout[1].IN1
io_intdmdout[2] => io_intdmdout[2].IN1
io_intdmdout[3] => io_intdmdout[3].IN1
io_intdmdout[4] => io_intdmdout[4].IN1
io_intdmdout[5] => io_intdmdout[5].IN1
io_intdmdout[6] => io_intdmdout[6].IN1
io_intdmdout[7] => io_intdmdout[7].IN1
io_intdmdout[8] => io_intdmdout[8].IN1
io_intdmdout[9] => io_intdmdout[9].IN1
io_intdmdout[10] => io_intdmdout[10].IN1
io_intdmdout[11] => io_intdmdout[11].IN1
io_intdmdout[12] => io_intdmdout[12].IN1
io_intdmdout[13] => io_intdmdout[13].IN1
io_intdmdout[14] => io_intdmdout[14].IN1
io_intdmdout[15] => io_intdmdout[15].IN1
io_intdmdout[16] => io_intdmdout[16].IN1
io_intdmdout[17] => io_intdmdout[17].IN1
io_intdmdout[18] => io_intdmdout[18].IN1
io_intdmdout[19] => io_intdmdout[19].IN1
io_intdqdin[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[5] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[6] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[7] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[8] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[9] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[10] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[11] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[12] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[13] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[14] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[15] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[16] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[17] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[18] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[19] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[20] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[21] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[22] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[23] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[24] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[25] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[26] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[27] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[28] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[29] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[30] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[31] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[32] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[33] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[34] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[35] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[36] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[37] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[38] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[39] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[40] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[41] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[42] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[43] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[44] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[45] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[46] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[47] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[48] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[49] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[50] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[51] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[52] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[53] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[54] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[55] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[56] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[57] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[58] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[59] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[60] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[61] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[62] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[63] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[64] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[65] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[66] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[67] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[68] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[69] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[70] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[71] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[72] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[73] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[74] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[75] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[76] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[77] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[78] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[79] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[80] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[81] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[82] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[83] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[84] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[85] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[86] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[87] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[88] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[89] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[90] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[91] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[92] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[93] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[94] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[95] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[96] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[97] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[98] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[99] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[100] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[101] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[102] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[103] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[104] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[105] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[106] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[107] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[108] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[109] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[110] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[111] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[112] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[113] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[114] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[115] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[116] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[117] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[118] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[119] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[120] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[121] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[122] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[123] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[124] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[125] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[126] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[127] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[128] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[129] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[130] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[131] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[132] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[133] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[134] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[135] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[136] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[137] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[138] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[139] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[140] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[141] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[142] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[143] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[144] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[145] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[146] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[147] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[148] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[149] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[150] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[151] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[152] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[153] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[154] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[155] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[156] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[157] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[158] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[159] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[160] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[161] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[162] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[163] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[164] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[165] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[166] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[167] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[168] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[169] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[170] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[171] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[172] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[173] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[174] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[175] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[176] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[177] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[178] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdin[179] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqdin
io_intdqdout[0] => io_intdqdout[0].IN1
io_intdqdout[1] => io_intdqdout[1].IN1
io_intdqdout[2] => io_intdqdout[2].IN1
io_intdqdout[3] => io_intdqdout[3].IN1
io_intdqdout[4] => io_intdqdout[4].IN1
io_intdqdout[5] => io_intdqdout[5].IN1
io_intdqdout[6] => io_intdqdout[6].IN1
io_intdqdout[7] => io_intdqdout[7].IN1
io_intdqdout[8] => io_intdqdout[8].IN1
io_intdqdout[9] => io_intdqdout[9].IN1
io_intdqdout[10] => io_intdqdout[10].IN1
io_intdqdout[11] => io_intdqdout[11].IN1
io_intdqdout[12] => io_intdqdout[12].IN1
io_intdqdout[13] => io_intdqdout[13].IN1
io_intdqdout[14] => io_intdqdout[14].IN1
io_intdqdout[15] => io_intdqdout[15].IN1
io_intdqdout[16] => io_intdqdout[16].IN1
io_intdqdout[17] => io_intdqdout[17].IN1
io_intdqdout[18] => io_intdqdout[18].IN1
io_intdqdout[19] => io_intdqdout[19].IN1
io_intdqdout[20] => io_intdqdout[20].IN1
io_intdqdout[21] => io_intdqdout[21].IN1
io_intdqdout[22] => io_intdqdout[22].IN1
io_intdqdout[23] => io_intdqdout[23].IN1
io_intdqdout[24] => io_intdqdout[24].IN1
io_intdqdout[25] => io_intdqdout[25].IN1
io_intdqdout[26] => io_intdqdout[26].IN1
io_intdqdout[27] => io_intdqdout[27].IN1
io_intdqdout[28] => io_intdqdout[28].IN1
io_intdqdout[29] => io_intdqdout[29].IN1
io_intdqdout[30] => io_intdqdout[30].IN1
io_intdqdout[31] => io_intdqdout[31].IN1
io_intdqdout[32] => io_intdqdout[32].IN1
io_intdqdout[33] => io_intdqdout[33].IN1
io_intdqdout[34] => io_intdqdout[34].IN1
io_intdqdout[35] => io_intdqdout[35].IN1
io_intdqdout[36] => io_intdqdout[36].IN1
io_intdqdout[37] => io_intdqdout[37].IN1
io_intdqdout[38] => io_intdqdout[38].IN1
io_intdqdout[39] => io_intdqdout[39].IN1
io_intdqdout[40] => io_intdqdout[40].IN1
io_intdqdout[41] => io_intdqdout[41].IN1
io_intdqdout[42] => io_intdqdout[42].IN1
io_intdqdout[43] => io_intdqdout[43].IN1
io_intdqdout[44] => io_intdqdout[44].IN1
io_intdqdout[45] => io_intdqdout[45].IN1
io_intdqdout[46] => io_intdqdout[46].IN1
io_intdqdout[47] => io_intdqdout[47].IN1
io_intdqdout[48] => io_intdqdout[48].IN1
io_intdqdout[49] => io_intdqdout[49].IN1
io_intdqdout[50] => io_intdqdout[50].IN1
io_intdqdout[51] => io_intdqdout[51].IN1
io_intdqdout[52] => io_intdqdout[52].IN1
io_intdqdout[53] => io_intdqdout[53].IN1
io_intdqdout[54] => io_intdqdout[54].IN1
io_intdqdout[55] => io_intdqdout[55].IN1
io_intdqdout[56] => io_intdqdout[56].IN1
io_intdqdout[57] => io_intdqdout[57].IN1
io_intdqdout[58] => io_intdqdout[58].IN1
io_intdqdout[59] => io_intdqdout[59].IN1
io_intdqdout[60] => io_intdqdout[60].IN1
io_intdqdout[61] => io_intdqdout[61].IN1
io_intdqdout[62] => io_intdqdout[62].IN1
io_intdqdout[63] => io_intdqdout[63].IN1
io_intdqdout[64] => io_intdqdout[64].IN1
io_intdqdout[65] => io_intdqdout[65].IN1
io_intdqdout[66] => io_intdqdout[66].IN1
io_intdqdout[67] => io_intdqdout[67].IN1
io_intdqdout[68] => io_intdqdout[68].IN1
io_intdqdout[69] => io_intdqdout[69].IN1
io_intdqdout[70] => io_intdqdout[70].IN1
io_intdqdout[71] => io_intdqdout[71].IN1
io_intdqdout[72] => io_intdqdout[72].IN1
io_intdqdout[73] => io_intdqdout[73].IN1
io_intdqdout[74] => io_intdqdout[74].IN1
io_intdqdout[75] => io_intdqdout[75].IN1
io_intdqdout[76] => io_intdqdout[76].IN1
io_intdqdout[77] => io_intdqdout[77].IN1
io_intdqdout[78] => io_intdqdout[78].IN1
io_intdqdout[79] => io_intdqdout[79].IN1
io_intdqdout[80] => io_intdqdout[80].IN1
io_intdqdout[81] => io_intdqdout[81].IN1
io_intdqdout[82] => io_intdqdout[82].IN1
io_intdqdout[83] => io_intdqdout[83].IN1
io_intdqdout[84] => io_intdqdout[84].IN1
io_intdqdout[85] => io_intdqdout[85].IN1
io_intdqdout[86] => io_intdqdout[86].IN1
io_intdqdout[87] => io_intdqdout[87].IN1
io_intdqdout[88] => io_intdqdout[88].IN1
io_intdqdout[89] => io_intdqdout[89].IN1
io_intdqdout[90] => io_intdqdout[90].IN1
io_intdqdout[91] => io_intdqdout[91].IN1
io_intdqdout[92] => io_intdqdout[92].IN1
io_intdqdout[93] => io_intdqdout[93].IN1
io_intdqdout[94] => io_intdqdout[94].IN1
io_intdqdout[95] => io_intdqdout[95].IN1
io_intdqdout[96] => io_intdqdout[96].IN1
io_intdqdout[97] => io_intdqdout[97].IN1
io_intdqdout[98] => io_intdqdout[98].IN1
io_intdqdout[99] => io_intdqdout[99].IN1
io_intdqdout[100] => io_intdqdout[100].IN1
io_intdqdout[101] => io_intdqdout[101].IN1
io_intdqdout[102] => io_intdqdout[102].IN1
io_intdqdout[103] => io_intdqdout[103].IN1
io_intdqdout[104] => io_intdqdout[104].IN1
io_intdqdout[105] => io_intdqdout[105].IN1
io_intdqdout[106] => io_intdqdout[106].IN1
io_intdqdout[107] => io_intdqdout[107].IN1
io_intdqdout[108] => io_intdqdout[108].IN1
io_intdqdout[109] => io_intdqdout[109].IN1
io_intdqdout[110] => io_intdqdout[110].IN1
io_intdqdout[111] => io_intdqdout[111].IN1
io_intdqdout[112] => io_intdqdout[112].IN1
io_intdqdout[113] => io_intdqdout[113].IN1
io_intdqdout[114] => io_intdqdout[114].IN1
io_intdqdout[115] => io_intdqdout[115].IN1
io_intdqdout[116] => io_intdqdout[116].IN1
io_intdqdout[117] => io_intdqdout[117].IN1
io_intdqdout[118] => io_intdqdout[118].IN1
io_intdqdout[119] => io_intdqdout[119].IN1
io_intdqdout[120] => io_intdqdout[120].IN1
io_intdqdout[121] => io_intdqdout[121].IN1
io_intdqdout[122] => io_intdqdout[122].IN1
io_intdqdout[123] => io_intdqdout[123].IN1
io_intdqdout[124] => io_intdqdout[124].IN1
io_intdqdout[125] => io_intdqdout[125].IN1
io_intdqdout[126] => io_intdqdout[126].IN1
io_intdqdout[127] => io_intdqdout[127].IN1
io_intdqdout[128] => io_intdqdout[128].IN1
io_intdqdout[129] => io_intdqdout[129].IN1
io_intdqdout[130] => io_intdqdout[130].IN1
io_intdqdout[131] => io_intdqdout[131].IN1
io_intdqdout[132] => io_intdqdout[132].IN1
io_intdqdout[133] => io_intdqdout[133].IN1
io_intdqdout[134] => io_intdqdout[134].IN1
io_intdqdout[135] => io_intdqdout[135].IN1
io_intdqdout[136] => io_intdqdout[136].IN1
io_intdqdout[137] => io_intdqdout[137].IN1
io_intdqdout[138] => io_intdqdout[138].IN1
io_intdqdout[139] => io_intdqdout[139].IN1
io_intdqdout[140] => io_intdqdout[140].IN1
io_intdqdout[141] => io_intdqdout[141].IN1
io_intdqdout[142] => io_intdqdout[142].IN1
io_intdqdout[143] => io_intdqdout[143].IN1
io_intdqdout[144] => io_intdqdout[144].IN1
io_intdqdout[145] => io_intdqdout[145].IN1
io_intdqdout[146] => io_intdqdout[146].IN1
io_intdqdout[147] => io_intdqdout[147].IN1
io_intdqdout[148] => io_intdqdout[148].IN1
io_intdqdout[149] => io_intdqdout[149].IN1
io_intdqdout[150] => io_intdqdout[150].IN1
io_intdqdout[151] => io_intdqdout[151].IN1
io_intdqdout[152] => io_intdqdout[152].IN1
io_intdqdout[153] => io_intdqdout[153].IN1
io_intdqdout[154] => io_intdqdout[154].IN1
io_intdqdout[155] => io_intdqdout[155].IN1
io_intdqdout[156] => io_intdqdout[156].IN1
io_intdqdout[157] => io_intdqdout[157].IN1
io_intdqdout[158] => io_intdqdout[158].IN1
io_intdqdout[159] => io_intdqdout[159].IN1
io_intdqdout[160] => io_intdqdout[160].IN1
io_intdqdout[161] => io_intdqdout[161].IN1
io_intdqdout[162] => io_intdqdout[162].IN1
io_intdqdout[163] => io_intdqdout[163].IN1
io_intdqdout[164] => io_intdqdout[164].IN1
io_intdqdout[165] => io_intdqdout[165].IN1
io_intdqdout[166] => io_intdqdout[166].IN1
io_intdqdout[167] => io_intdqdout[167].IN1
io_intdqdout[168] => io_intdqdout[168].IN1
io_intdqdout[169] => io_intdqdout[169].IN1
io_intdqdout[170] => io_intdqdout[170].IN1
io_intdqdout[171] => io_intdqdout[171].IN1
io_intdqdout[172] => io_intdqdout[172].IN1
io_intdqdout[173] => io_intdqdout[173].IN1
io_intdqdout[174] => io_intdqdout[174].IN1
io_intdqdout[175] => io_intdqdout[175].IN1
io_intdqdout[176] => io_intdqdout[176].IN1
io_intdqdout[177] => io_intdqdout[177].IN1
io_intdqdout[178] => io_intdqdout[178].IN1
io_intdqdout[179] => io_intdqdout[179].IN1
io_intdqoe[0] => io_intdqoe[0].IN1
io_intdqoe[1] => io_intdqoe[1].IN1
io_intdqoe[2] => io_intdqoe[2].IN1
io_intdqoe[3] => io_intdqoe[3].IN1
io_intdqoe[4] => io_intdqoe[4].IN1
io_intdqoe[5] => io_intdqoe[5].IN1
io_intdqoe[6] => io_intdqoe[6].IN1
io_intdqoe[7] => io_intdqoe[7].IN1
io_intdqoe[8] => io_intdqoe[8].IN1
io_intdqoe[9] => io_intdqoe[9].IN1
io_intdqoe[10] => io_intdqoe[10].IN1
io_intdqoe[11] => io_intdqoe[11].IN1
io_intdqoe[12] => io_intdqoe[12].IN1
io_intdqoe[13] => io_intdqoe[13].IN1
io_intdqoe[14] => io_intdqoe[14].IN1
io_intdqoe[15] => io_intdqoe[15].IN1
io_intdqoe[16] => io_intdqoe[16].IN1
io_intdqoe[17] => io_intdqoe[17].IN1
io_intdqoe[18] => io_intdqoe[18].IN1
io_intdqoe[19] => io_intdqoe[19].IN1
io_intdqoe[20] => io_intdqoe[20].IN1
io_intdqoe[21] => io_intdqoe[21].IN1
io_intdqoe[22] => io_intdqoe[22].IN1
io_intdqoe[23] => io_intdqoe[23].IN1
io_intdqoe[24] => io_intdqoe[24].IN1
io_intdqoe[25] => io_intdqoe[25].IN1
io_intdqoe[26] => io_intdqoe[26].IN1
io_intdqoe[27] => io_intdqoe[27].IN1
io_intdqoe[28] => io_intdqoe[28].IN1
io_intdqoe[29] => io_intdqoe[29].IN1
io_intdqoe[30] => io_intdqoe[30].IN1
io_intdqoe[31] => io_intdqoe[31].IN1
io_intdqoe[32] => io_intdqoe[32].IN1
io_intdqoe[33] => io_intdqoe[33].IN1
io_intdqoe[34] => io_intdqoe[34].IN1
io_intdqoe[35] => io_intdqoe[35].IN1
io_intdqoe[36] => io_intdqoe[36].IN1
io_intdqoe[37] => io_intdqoe[37].IN1
io_intdqoe[38] => io_intdqoe[38].IN1
io_intdqoe[39] => io_intdqoe[39].IN1
io_intdqoe[40] => io_intdqoe[40].IN1
io_intdqoe[41] => io_intdqoe[41].IN1
io_intdqoe[42] => io_intdqoe[42].IN1
io_intdqoe[43] => io_intdqoe[43].IN1
io_intdqoe[44] => io_intdqoe[44].IN1
io_intdqoe[45] => io_intdqoe[45].IN1
io_intdqoe[46] => io_intdqoe[46].IN1
io_intdqoe[47] => io_intdqoe[47].IN1
io_intdqoe[48] => io_intdqoe[48].IN1
io_intdqoe[49] => io_intdqoe[49].IN1
io_intdqoe[50] => io_intdqoe[50].IN1
io_intdqoe[51] => io_intdqoe[51].IN1
io_intdqoe[52] => io_intdqoe[52].IN1
io_intdqoe[53] => io_intdqoe[53].IN1
io_intdqoe[54] => io_intdqoe[54].IN1
io_intdqoe[55] => io_intdqoe[55].IN1
io_intdqoe[56] => io_intdqoe[56].IN1
io_intdqoe[57] => io_intdqoe[57].IN1
io_intdqoe[58] => io_intdqoe[58].IN1
io_intdqoe[59] => io_intdqoe[59].IN1
io_intdqoe[60] => io_intdqoe[60].IN1
io_intdqoe[61] => io_intdqoe[61].IN1
io_intdqoe[62] => io_intdqoe[62].IN1
io_intdqoe[63] => io_intdqoe[63].IN1
io_intdqoe[64] => io_intdqoe[64].IN1
io_intdqoe[65] => io_intdqoe[65].IN1
io_intdqoe[66] => io_intdqoe[66].IN1
io_intdqoe[67] => io_intdqoe[67].IN1
io_intdqoe[68] => io_intdqoe[68].IN1
io_intdqoe[69] => io_intdqoe[69].IN1
io_intdqoe[70] => io_intdqoe[70].IN1
io_intdqoe[71] => io_intdqoe[71].IN1
io_intdqoe[72] => io_intdqoe[72].IN1
io_intdqoe[73] => io_intdqoe[73].IN1
io_intdqoe[74] => io_intdqoe[74].IN1
io_intdqoe[75] => io_intdqoe[75].IN1
io_intdqoe[76] => io_intdqoe[76].IN1
io_intdqoe[77] => io_intdqoe[77].IN1
io_intdqoe[78] => io_intdqoe[78].IN1
io_intdqoe[79] => io_intdqoe[79].IN1
io_intdqoe[80] => io_intdqoe[80].IN1
io_intdqoe[81] => io_intdqoe[81].IN1
io_intdqoe[82] => io_intdqoe[82].IN1
io_intdqoe[83] => io_intdqoe[83].IN1
io_intdqoe[84] => io_intdqoe[84].IN1
io_intdqoe[85] => io_intdqoe[85].IN1
io_intdqoe[86] => io_intdqoe[86].IN1
io_intdqoe[87] => io_intdqoe[87].IN1
io_intdqoe[88] => io_intdqoe[88].IN1
io_intdqoe[89] => io_intdqoe[89].IN1
io_intdqsbdout[0] => io_intdqsbdout[0].IN1
io_intdqsbdout[1] => io_intdqsbdout[1].IN1
io_intdqsbdout[2] => io_intdqsbdout[2].IN1
io_intdqsbdout[3] => io_intdqsbdout[3].IN1
io_intdqsbdout[4] => io_intdqsbdout[4].IN1
io_intdqsbdout[5] => io_intdqsbdout[5].IN1
io_intdqsbdout[6] => io_intdqsbdout[6].IN1
io_intdqsbdout[7] => io_intdqsbdout[7].IN1
io_intdqsbdout[8] => io_intdqsbdout[8].IN1
io_intdqsbdout[9] => io_intdqsbdout[9].IN1
io_intdqsbdout[10] => io_intdqsbdout[10].IN1
io_intdqsbdout[11] => io_intdqsbdout[11].IN1
io_intdqsbdout[12] => io_intdqsbdout[12].IN1
io_intdqsbdout[13] => io_intdqsbdout[13].IN1
io_intdqsbdout[14] => io_intdqsbdout[14].IN1
io_intdqsbdout[15] => io_intdqsbdout[15].IN1
io_intdqsbdout[16] => io_intdqsbdout[16].IN1
io_intdqsbdout[17] => io_intdqsbdout[17].IN1
io_intdqsbdout[18] => io_intdqsbdout[18].IN1
io_intdqsbdout[19] => io_intdqsbdout[19].IN1
io_intdqsboe[0] => io_intdqsboe[0].IN1
io_intdqsboe[1] => io_intdqsboe[1].IN1
io_intdqsboe[2] => io_intdqsboe[2].IN1
io_intdqsboe[3] => io_intdqsboe[3].IN1
io_intdqsboe[4] => io_intdqsboe[4].IN1
io_intdqsboe[5] => io_intdqsboe[5].IN1
io_intdqsboe[6] => io_intdqsboe[6].IN1
io_intdqsboe[7] => io_intdqsboe[7].IN1
io_intdqsboe[8] => io_intdqsboe[8].IN1
io_intdqsboe[9] => io_intdqsboe[9].IN1
io_intdqsdout[0] => io_intdqsdout[0].IN1
io_intdqsdout[1] => io_intdqsdout[1].IN1
io_intdqsdout[2] => io_intdqsdout[2].IN1
io_intdqsdout[3] => io_intdqsdout[3].IN1
io_intdqsdout[4] => io_intdqsdout[4].IN1
io_intdqsdout[5] => io_intdqsdout[5].IN1
io_intdqsdout[6] => io_intdqsdout[6].IN1
io_intdqsdout[7] => io_intdqsdout[7].IN1
io_intdqsdout[8] => io_intdqsdout[8].IN1
io_intdqsdout[9] => io_intdqsdout[9].IN1
io_intdqsdout[10] => io_intdqsdout[10].IN1
io_intdqsdout[11] => io_intdqsdout[11].IN1
io_intdqsdout[12] => io_intdqsdout[12].IN1
io_intdqsdout[13] => io_intdqsdout[13].IN1
io_intdqsdout[14] => io_intdqsdout[14].IN1
io_intdqsdout[15] => io_intdqsdout[15].IN1
io_intdqsdout[16] => io_intdqsdout[16].IN1
io_intdqsdout[17] => io_intdqsdout[17].IN1
io_intdqsdout[18] => io_intdqsdout[18].IN1
io_intdqsdout[19] => io_intdqsdout[19].IN1
io_intdqslogicdqsena[0] => io_intdqslogicdqsena[0].IN1
io_intdqslogicdqsena[1] => io_intdqslogicdqsena[1].IN1
io_intdqslogicdqsena[2] => io_intdqslogicdqsena[2].IN1
io_intdqslogicdqsena[3] => io_intdqslogicdqsena[3].IN1
io_intdqslogicdqsena[4] => io_intdqslogicdqsena[4].IN1
io_intdqslogicdqsena[5] => io_intdqslogicdqsena[5].IN1
io_intdqslogicdqsena[6] => io_intdqslogicdqsena[6].IN1
io_intdqslogicdqsena[7] => io_intdqslogicdqsena[7].IN1
io_intdqslogicdqsena[8] => io_intdqslogicdqsena[8].IN1
io_intdqslogicdqsena[9] => io_intdqslogicdqsena[9].IN1
io_intdqslogicfiforeset[0] => io_intdqslogicfiforeset[0].IN1
io_intdqslogicfiforeset[1] => io_intdqslogicfiforeset[1].IN1
io_intdqslogicfiforeset[2] => io_intdqslogicfiforeset[2].IN1
io_intdqslogicfiforeset[3] => io_intdqslogicfiforeset[3].IN1
io_intdqslogicfiforeset[4] => io_intdqslogicfiforeset[4].IN1
io_intdqslogicincrdataen[0] => io_intdqslogicincrdataen[0].IN1
io_intdqslogicincrdataen[1] => io_intdqslogicincrdataen[1].IN1
io_intdqslogicincrdataen[2] => io_intdqslogicincrdataen[2].IN1
io_intdqslogicincrdataen[3] => io_intdqslogicincrdataen[3].IN1
io_intdqslogicincrdataen[4] => io_intdqslogicincrdataen[4].IN1
io_intdqslogicincrdataen[5] => io_intdqslogicincrdataen[5].IN1
io_intdqslogicincrdataen[6] => io_intdqslogicincrdataen[6].IN1
io_intdqslogicincrdataen[7] => io_intdqslogicincrdataen[7].IN1
io_intdqslogicincrdataen[8] => io_intdqslogicincrdataen[8].IN1
io_intdqslogicincrdataen[9] => io_intdqslogicincrdataen[9].IN1
io_intdqslogicincwrptr[0] => io_intdqslogicincwrptr[0].IN1
io_intdqslogicincwrptr[1] => io_intdqslogicincwrptr[1].IN1
io_intdqslogicincwrptr[2] => io_intdqslogicincwrptr[2].IN1
io_intdqslogicincwrptr[3] => io_intdqslogicincwrptr[3].IN1
io_intdqslogicincwrptr[4] => io_intdqslogicincwrptr[4].IN1
io_intdqslogicincwrptr[5] => io_intdqslogicincwrptr[5].IN1
io_intdqslogicincwrptr[6] => io_intdqslogicincwrptr[6].IN1
io_intdqslogicincwrptr[7] => io_intdqslogicincwrptr[7].IN1
io_intdqslogicincwrptr[8] => io_intdqslogicincwrptr[8].IN1
io_intdqslogicincwrptr[9] => io_intdqslogicincwrptr[9].IN1
io_intdqslogicoct[0] => io_intdqslogicoct[0].IN1
io_intdqslogicoct[1] => io_intdqslogicoct[1].IN1
io_intdqslogicoct[2] => io_intdqslogicoct[2].IN1
io_intdqslogicoct[3] => io_intdqslogicoct[3].IN1
io_intdqslogicoct[4] => io_intdqslogicoct[4].IN1
io_intdqslogicoct[5] => io_intdqslogicoct[5].IN1
io_intdqslogicoct[6] => io_intdqslogicoct[6].IN1
io_intdqslogicoct[7] => io_intdqslogicoct[7].IN1
io_intdqslogicoct[8] => io_intdqslogicoct[8].IN1
io_intdqslogicoct[9] => io_intdqslogicoct[9].IN1
io_intdqslogicrdatavalid[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqslogicrdatavalid
io_intdqslogicrdatavalid[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqslogicrdatavalid
io_intdqslogicrdatavalid[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqslogicrdatavalid
io_intdqslogicrdatavalid[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqslogicrdatavalid
io_intdqslogicrdatavalid[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intdqslogicrdatavalid
io_intdqslogicreadlatency[0] => io_intdqslogicreadlatency[0].IN1
io_intdqslogicreadlatency[1] => io_intdqslogicreadlatency[1].IN1
io_intdqslogicreadlatency[2] => io_intdqslogicreadlatency[2].IN1
io_intdqslogicreadlatency[3] => io_intdqslogicreadlatency[3].IN1
io_intdqslogicreadlatency[4] => io_intdqslogicreadlatency[4].IN1
io_intdqslogicreadlatency[5] => io_intdqslogicreadlatency[5].IN1
io_intdqslogicreadlatency[6] => io_intdqslogicreadlatency[6].IN1
io_intdqslogicreadlatency[7] => io_intdqslogicreadlatency[7].IN1
io_intdqslogicreadlatency[8] => io_intdqslogicreadlatency[8].IN1
io_intdqslogicreadlatency[9] => io_intdqslogicreadlatency[9].IN1
io_intdqslogicreadlatency[10] => io_intdqslogicreadlatency[10].IN1
io_intdqslogicreadlatency[11] => io_intdqslogicreadlatency[11].IN1
io_intdqslogicreadlatency[12] => io_intdqslogicreadlatency[12].IN1
io_intdqslogicreadlatency[13] => io_intdqslogicreadlatency[13].IN1
io_intdqslogicreadlatency[14] => io_intdqslogicreadlatency[14].IN1
io_intdqslogicreadlatency[15] => io_intdqslogicreadlatency[15].IN1
io_intdqslogicreadlatency[16] => io_intdqslogicreadlatency[16].IN1
io_intdqslogicreadlatency[17] => io_intdqslogicreadlatency[17].IN1
io_intdqslogicreadlatency[18] => io_intdqslogicreadlatency[18].IN1
io_intdqslogicreadlatency[19] => io_intdqslogicreadlatency[19].IN1
io_intdqslogicreadlatency[20] => io_intdqslogicreadlatency[20].IN1
io_intdqslogicreadlatency[21] => io_intdqslogicreadlatency[21].IN1
io_intdqslogicreadlatency[22] => io_intdqslogicreadlatency[22].IN1
io_intdqslogicreadlatency[23] => io_intdqslogicreadlatency[23].IN1
io_intdqslogicreadlatency[24] => io_intdqslogicreadlatency[24].IN1
io_intdqsoe[0] => io_intdqsoe[0].IN1
io_intdqsoe[1] => io_intdqsoe[1].IN1
io_intdqsoe[2] => io_intdqsoe[2].IN1
io_intdqsoe[3] => io_intdqsoe[3].IN1
io_intdqsoe[4] => io_intdqsoe[4].IN1
io_intdqsoe[5] => io_intdqsoe[5].IN1
io_intdqsoe[6] => io_intdqsoe[6].IN1
io_intdqsoe[7] => io_intdqsoe[7].IN1
io_intdqsoe[8] => io_intdqsoe[8].IN1
io_intdqsoe[9] => io_intdqsoe[9].IN1
io_intodtdout[0] => io_intodtdout[0].IN1
io_intodtdout[1] => io_intodtdout[1].IN1
io_intodtdout[2] => io_intodtdout[2].IN1
io_intodtdout[3] => io_intodtdout[3].IN1
io_intodtdout[4] => io_intodtdout[4].IN1
io_intodtdout[5] => io_intodtdout[5].IN1
io_intodtdout[6] => io_intodtdout[6].IN1
io_intodtdout[7] => io_intodtdout[7].IN1
io_intrasndout[0] => io_intrasndout[0].IN1
io_intrasndout[1] => io_intrasndout[1].IN1
io_intrasndout[2] => io_intrasndout[2].IN1
io_intrasndout[3] => io_intrasndout[3].IN1
io_intresetndout[0] => io_intresetndout[0].IN1
io_intresetndout[1] => io_intresetndout[1].IN1
io_intresetndout[2] => io_intresetndout[2].IN1
io_intresetndout[3] => io_intresetndout[3].IN1
io_intwendout[0] => io_intwendout[0].IN1
io_intwendout[1] => io_intwendout[1].IN1
io_intwendout[2] => io_intwendout[2].IN1
io_intwendout[3] => io_intwendout[3].IN1
io_intafirlat[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafirlat
io_intafirlat[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafirlat
io_intafirlat[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafirlat
io_intafirlat[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafirlat
io_intafirlat[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafirlat
io_intafiwlat[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafiwlat
io_intafiwlat[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafiwlat
io_intafiwlat[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafiwlat
io_intafiwlat[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intafiwlat
io_intaficalfail <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intaficalfail
io_intaficalsuccess <= hps_sdram_p0_acv_hard_memphy:umemphy.io_intaficalsuccess
mem_a[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[3] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[4] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[5] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[6] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[7] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[8] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[9] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[10] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[11] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_a[12] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_a
mem_ba[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ba
mem_ba[1] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ba
mem_ba[2] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ba
mem_ck[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ck
mem_ck_n[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ck_n
mem_cke[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_cke
mem_cs_n[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_cs_n
mem_dm[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_dm
mem_ras_n[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_ras_n
mem_cas_n[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_cas_n
mem_we_n[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_we_n
mem_dq[0] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[1] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[2] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[3] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[4] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[5] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[6] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dq[7] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dq
mem_dqs[0] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dqs
mem_dqs_n[0] <> hps_sdram_p0_acv_hard_memphy:umemphy.mem_dqs_n
mem_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_reset_n
mem_odt[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.mem_odt
avl_clk <= pll_avl_clk.DB_MAX_OUTPUT_PORT_TYPE
scc_clk <= pll_config_clk.DB_MAX_OUTPUT_PORT_TYPE
avl_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.reset_n_avl_clk
scc_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.reset_n_scc_clk
scc_data[0] => scc_data[0].IN1
scc_dqs_ena[0] => scc_dqs_ena[0].IN1
scc_dqs_io_ena[0] => scc_dqs_io_ena[0].IN1
scc_dq_ena[0] => scc_dq_ena[0].IN1
scc_dq_ena[1] => scc_dq_ena[1].IN1
scc_dq_ena[2] => scc_dq_ena[2].IN1
scc_dq_ena[3] => scc_dq_ena[3].IN1
scc_dq_ena[4] => scc_dq_ena[4].IN1
scc_dq_ena[5] => scc_dq_ena[5].IN1
scc_dq_ena[6] => scc_dq_ena[6].IN1
scc_dq_ena[7] => scc_dq_ena[7].IN1
scc_dm_ena[0] => scc_dm_ena[0].IN1
scc_upd[0] => scc_upd[0].IN1
capture_strobe_tracking[0] <= hps_sdram_p0_acv_hard_memphy:umemphy.capture_strobe_tracking
phy_clk <= hps_sdram_p0_acv_hard_memphy:umemphy.phy_clk
ctl_clk <= hps_sdram_p0_acv_hard_memphy:umemphy.ctl_clk
phy_reset_n <= hps_sdram_p0_acv_hard_memphy:umemphy.phy_reset_n


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy
global_reset_n => global_reset_n.IN2
soft_reset_n => hphy_inst.I_SOFTRESETN
ctl_reset_n <= hphy_inst.O_CTLRESETN
ctl_reset_export_n <= <GND>
afi_reset_n <= global_reset_n.DB_MAX_OUTPUT_PORT_TYPE
pll_locked => dll_pll_locked.DATAIN
pll_locked => hphy_inst.I_PLLLOCKED
oct_ctl_rs_value[0] => oct_ctl_rs_value[0].IN1
oct_ctl_rs_value[1] => oct_ctl_rs_value[1].IN1
oct_ctl_rs_value[2] => oct_ctl_rs_value[2].IN1
oct_ctl_rs_value[3] => oct_ctl_rs_value[3].IN1
oct_ctl_rs_value[4] => oct_ctl_rs_value[4].IN1
oct_ctl_rs_value[5] => oct_ctl_rs_value[5].IN1
oct_ctl_rs_value[6] => oct_ctl_rs_value[6].IN1
oct_ctl_rs_value[7] => oct_ctl_rs_value[7].IN1
oct_ctl_rs_value[8] => oct_ctl_rs_value[8].IN1
oct_ctl_rs_value[9] => oct_ctl_rs_value[9].IN1
oct_ctl_rs_value[10] => oct_ctl_rs_value[10].IN1
oct_ctl_rs_value[11] => oct_ctl_rs_value[11].IN1
oct_ctl_rs_value[12] => oct_ctl_rs_value[12].IN1
oct_ctl_rs_value[13] => oct_ctl_rs_value[13].IN1
oct_ctl_rs_value[14] => oct_ctl_rs_value[14].IN1
oct_ctl_rs_value[15] => oct_ctl_rs_value[15].IN1
oct_ctl_rt_value[0] => oct_ctl_rt_value[0].IN1
oct_ctl_rt_value[1] => oct_ctl_rt_value[1].IN1
oct_ctl_rt_value[2] => oct_ctl_rt_value[2].IN1
oct_ctl_rt_value[3] => oct_ctl_rt_value[3].IN1
oct_ctl_rt_value[4] => oct_ctl_rt_value[4].IN1
oct_ctl_rt_value[5] => oct_ctl_rt_value[5].IN1
oct_ctl_rt_value[6] => oct_ctl_rt_value[6].IN1
oct_ctl_rt_value[7] => oct_ctl_rt_value[7].IN1
oct_ctl_rt_value[8] => oct_ctl_rt_value[8].IN1
oct_ctl_rt_value[9] => oct_ctl_rt_value[9].IN1
oct_ctl_rt_value[10] => oct_ctl_rt_value[10].IN1
oct_ctl_rt_value[11] => oct_ctl_rt_value[11].IN1
oct_ctl_rt_value[12] => oct_ctl_rt_value[12].IN1
oct_ctl_rt_value[13] => oct_ctl_rt_value[13].IN1
oct_ctl_rt_value[14] => oct_ctl_rt_value[14].IN1
oct_ctl_rt_value[15] => oct_ctl_rt_value[15].IN1
afi_addr[0] => hphy_inst.I_AFIADDR
afi_addr[1] => hphy_inst.I_AFIADDR1
afi_addr[2] => hphy_inst.I_AFIADDR2
afi_addr[3] => hphy_inst.I_AFIADDR3
afi_addr[4] => hphy_inst.I_AFIADDR4
afi_addr[5] => hphy_inst.I_AFIADDR5
afi_addr[6] => hphy_inst.I_AFIADDR6
afi_addr[7] => hphy_inst.I_AFIADDR7
afi_addr[8] => hphy_inst.I_AFIADDR8
afi_addr[9] => hphy_inst.I_AFIADDR9
afi_addr[10] => hphy_inst.I_AFIADDR10
afi_addr[11] => hphy_inst.I_AFIADDR11
afi_addr[12] => hphy_inst.I_AFIADDR12
afi_addr[13] => hphy_inst.I_AFIADDR13
afi_addr[14] => hphy_inst.I_AFIADDR14
afi_addr[15] => hphy_inst.I_AFIADDR15
afi_addr[16] => hphy_inst.I_AFIADDR16
afi_addr[17] => hphy_inst.I_AFIADDR17
afi_addr[18] => hphy_inst.I_AFIADDR18
afi_addr[19] => hphy_inst.I_AFIADDR19
afi_ba[0] => hphy_inst.I_AFIBA
afi_ba[1] => hphy_inst.I_AFIBA1
afi_ba[2] => hphy_inst.I_AFIBA2
afi_cke[0] => hphy_inst.I_AFICKE
afi_cke[1] => hphy_inst.I_AFICKE1
afi_cs_n[0] => hphy_inst.I_AFICSN
afi_cs_n[1] => hphy_inst.I_AFICSN1
afi_ras_n[0] => hphy_inst.I_AFIRASN
afi_we_n[0] => hphy_inst.I_AFIWEN
afi_cas_n[0] => hphy_inst.I_AFICASN
afi_rst_n[0] => hphy_inst.I_AFIRSTN
afi_odt[0] => hphy_inst.I_AFIODT
afi_odt[1] => hphy_inst.I_AFIODT1
afi_mem_clk_disable[0] => hphy_inst.I_AFIMEMCLKDISABLE
afi_dqs_burst[0] => hphy_inst.I_AFIDQSBURST
afi_dqs_burst[1] => hphy_inst.I_AFIDQSBURST1
afi_dqs_burst[2] => hphy_inst.I_AFIDQSBURST2
afi_dqs_burst[3] => hphy_inst.I_AFIDQSBURST3
afi_dqs_burst[4] => hphy_inst.I_AFIDQSBURST4
afi_wdata_valid[0] => hphy_inst.I_AFIWDATAVALID
afi_wdata_valid[1] => hphy_inst.I_AFIWDATAVALID1
afi_wdata_valid[2] => hphy_inst.I_AFIWDATAVALID2
afi_wdata_valid[3] => hphy_inst.I_AFIWDATAVALID3
afi_wdata_valid[4] => hphy_inst.I_AFIWDATAVALID4
afi_wdata[0] => hphy_inst.I_AFIWDATA
afi_wdata[1] => hphy_inst.I_AFIWDATA1
afi_wdata[2] => hphy_inst.I_AFIWDATA2
afi_wdata[3] => hphy_inst.I_AFIWDATA3
afi_wdata[4] => hphy_inst.I_AFIWDATA4
afi_wdata[5] => hphy_inst.I_AFIWDATA5
afi_wdata[6] => hphy_inst.I_AFIWDATA6
afi_wdata[7] => hphy_inst.I_AFIWDATA7
afi_wdata[8] => hphy_inst.I_AFIWDATA8
afi_wdata[9] => hphy_inst.I_AFIWDATA9
afi_wdata[10] => hphy_inst.I_AFIWDATA10
afi_wdata[11] => hphy_inst.I_AFIWDATA11
afi_wdata[12] => hphy_inst.I_AFIWDATA12
afi_wdata[13] => hphy_inst.I_AFIWDATA13
afi_wdata[14] => hphy_inst.I_AFIWDATA14
afi_wdata[15] => hphy_inst.I_AFIWDATA15
afi_wdata[16] => hphy_inst.I_AFIWDATA16
afi_wdata[17] => hphy_inst.I_AFIWDATA17
afi_wdata[18] => hphy_inst.I_AFIWDATA18
afi_wdata[19] => hphy_inst.I_AFIWDATA19
afi_wdata[20] => hphy_inst.I_AFIWDATA20
afi_wdata[21] => hphy_inst.I_AFIWDATA21
afi_wdata[22] => hphy_inst.I_AFIWDATA22
afi_wdata[23] => hphy_inst.I_AFIWDATA23
afi_wdata[24] => hphy_inst.I_AFIWDATA24
afi_wdata[25] => hphy_inst.I_AFIWDATA25
afi_wdata[26] => hphy_inst.I_AFIWDATA26
afi_wdata[27] => hphy_inst.I_AFIWDATA27
afi_wdata[28] => hphy_inst.I_AFIWDATA28
afi_wdata[29] => hphy_inst.I_AFIWDATA29
afi_wdata[30] => hphy_inst.I_AFIWDATA30
afi_wdata[31] => hphy_inst.I_AFIWDATA31
afi_wdata[32] => hphy_inst.I_AFIWDATA32
afi_wdata[33] => hphy_inst.I_AFIWDATA33
afi_wdata[34] => hphy_inst.I_AFIWDATA34
afi_wdata[35] => hphy_inst.I_AFIWDATA35
afi_wdata[36] => hphy_inst.I_AFIWDATA36
afi_wdata[37] => hphy_inst.I_AFIWDATA37
afi_wdata[38] => hphy_inst.I_AFIWDATA38
afi_wdata[39] => hphy_inst.I_AFIWDATA39
afi_wdata[40] => hphy_inst.I_AFIWDATA40
afi_wdata[41] => hphy_inst.I_AFIWDATA41
afi_wdata[42] => hphy_inst.I_AFIWDATA42
afi_wdata[43] => hphy_inst.I_AFIWDATA43
afi_wdata[44] => hphy_inst.I_AFIWDATA44
afi_wdata[45] => hphy_inst.I_AFIWDATA45
afi_wdata[46] => hphy_inst.I_AFIWDATA46
afi_wdata[47] => hphy_inst.I_AFIWDATA47
afi_wdata[48] => hphy_inst.I_AFIWDATA48
afi_wdata[49] => hphy_inst.I_AFIWDATA49
afi_wdata[50] => hphy_inst.I_AFIWDATA50
afi_wdata[51] => hphy_inst.I_AFIWDATA51
afi_wdata[52] => hphy_inst.I_AFIWDATA52
afi_wdata[53] => hphy_inst.I_AFIWDATA53
afi_wdata[54] => hphy_inst.I_AFIWDATA54
afi_wdata[55] => hphy_inst.I_AFIWDATA55
afi_wdata[56] => hphy_inst.I_AFIWDATA56
afi_wdata[57] => hphy_inst.I_AFIWDATA57
afi_wdata[58] => hphy_inst.I_AFIWDATA58
afi_wdata[59] => hphy_inst.I_AFIWDATA59
afi_wdata[60] => hphy_inst.I_AFIWDATA60
afi_wdata[61] => hphy_inst.I_AFIWDATA61
afi_wdata[62] => hphy_inst.I_AFIWDATA62
afi_wdata[63] => hphy_inst.I_AFIWDATA63
afi_wdata[64] => hphy_inst.I_AFIWDATA64
afi_wdata[65] => hphy_inst.I_AFIWDATA65
afi_wdata[66] => hphy_inst.I_AFIWDATA66
afi_wdata[67] => hphy_inst.I_AFIWDATA67
afi_wdata[68] => hphy_inst.I_AFIWDATA68
afi_wdata[69] => hphy_inst.I_AFIWDATA69
afi_wdata[70] => hphy_inst.I_AFIWDATA70
afi_wdata[71] => hphy_inst.I_AFIWDATA71
afi_wdata[72] => hphy_inst.I_AFIWDATA72
afi_wdata[73] => hphy_inst.I_AFIWDATA73
afi_wdata[74] => hphy_inst.I_AFIWDATA74
afi_wdata[75] => hphy_inst.I_AFIWDATA75
afi_wdata[76] => hphy_inst.I_AFIWDATA76
afi_wdata[77] => hphy_inst.I_AFIWDATA77
afi_wdata[78] => hphy_inst.I_AFIWDATA78
afi_wdata[79] => hphy_inst.I_AFIWDATA79
afi_dm[0] => hphy_inst.I_AFIDM
afi_dm[1] => hphy_inst.I_AFIDM1
afi_dm[2] => hphy_inst.I_AFIDM2
afi_dm[3] => hphy_inst.I_AFIDM3
afi_dm[4] => hphy_inst.I_AFIDM4
afi_dm[5] => hphy_inst.I_AFIDM5
afi_dm[6] => hphy_inst.I_AFIDM6
afi_dm[7] => hphy_inst.I_AFIDM7
afi_dm[8] => hphy_inst.I_AFIDM8
afi_dm[9] => hphy_inst.I_AFIDM9
afi_rdata[0] <= hphy_inst.O_AFIRDATA
afi_rdata[1] <= hphy_inst.O_AFIRDATA1
afi_rdata[2] <= hphy_inst.O_AFIRDATA2
afi_rdata[3] <= hphy_inst.O_AFIRDATA3
afi_rdata[4] <= hphy_inst.O_AFIRDATA4
afi_rdata[5] <= hphy_inst.O_AFIRDATA5
afi_rdata[6] <= hphy_inst.O_AFIRDATA6
afi_rdata[7] <= hphy_inst.O_AFIRDATA7
afi_rdata[8] <= hphy_inst.O_AFIRDATA8
afi_rdata[9] <= hphy_inst.O_AFIRDATA9
afi_rdata[10] <= hphy_inst.O_AFIRDATA10
afi_rdata[11] <= hphy_inst.O_AFIRDATA11
afi_rdata[12] <= hphy_inst.O_AFIRDATA12
afi_rdata[13] <= hphy_inst.O_AFIRDATA13
afi_rdata[14] <= hphy_inst.O_AFIRDATA14
afi_rdata[15] <= hphy_inst.O_AFIRDATA15
afi_rdata[16] <= hphy_inst.O_AFIRDATA16
afi_rdata[17] <= hphy_inst.O_AFIRDATA17
afi_rdata[18] <= hphy_inst.O_AFIRDATA18
afi_rdata[19] <= hphy_inst.O_AFIRDATA19
afi_rdata[20] <= hphy_inst.O_AFIRDATA20
afi_rdata[21] <= hphy_inst.O_AFIRDATA21
afi_rdata[22] <= hphy_inst.O_AFIRDATA22
afi_rdata[23] <= hphy_inst.O_AFIRDATA23
afi_rdata[24] <= hphy_inst.O_AFIRDATA24
afi_rdata[25] <= hphy_inst.O_AFIRDATA25
afi_rdata[26] <= hphy_inst.O_AFIRDATA26
afi_rdata[27] <= hphy_inst.O_AFIRDATA27
afi_rdata[28] <= hphy_inst.O_AFIRDATA28
afi_rdata[29] <= hphy_inst.O_AFIRDATA29
afi_rdata[30] <= hphy_inst.O_AFIRDATA30
afi_rdata[31] <= hphy_inst.O_AFIRDATA31
afi_rdata[32] <= hphy_inst.O_AFIRDATA32
afi_rdata[33] <= hphy_inst.O_AFIRDATA33
afi_rdata[34] <= hphy_inst.O_AFIRDATA34
afi_rdata[35] <= hphy_inst.O_AFIRDATA35
afi_rdata[36] <= hphy_inst.O_AFIRDATA36
afi_rdata[37] <= hphy_inst.O_AFIRDATA37
afi_rdata[38] <= hphy_inst.O_AFIRDATA38
afi_rdata[39] <= hphy_inst.O_AFIRDATA39
afi_rdata[40] <= hphy_inst.O_AFIRDATA40
afi_rdata[41] <= hphy_inst.O_AFIRDATA41
afi_rdata[42] <= hphy_inst.O_AFIRDATA42
afi_rdata[43] <= hphy_inst.O_AFIRDATA43
afi_rdata[44] <= hphy_inst.O_AFIRDATA44
afi_rdata[45] <= hphy_inst.O_AFIRDATA45
afi_rdata[46] <= hphy_inst.O_AFIRDATA46
afi_rdata[47] <= hphy_inst.O_AFIRDATA47
afi_rdata[48] <= hphy_inst.O_AFIRDATA48
afi_rdata[49] <= hphy_inst.O_AFIRDATA49
afi_rdata[50] <= hphy_inst.O_AFIRDATA50
afi_rdata[51] <= hphy_inst.O_AFIRDATA51
afi_rdata[52] <= hphy_inst.O_AFIRDATA52
afi_rdata[53] <= hphy_inst.O_AFIRDATA53
afi_rdata[54] <= hphy_inst.O_AFIRDATA54
afi_rdata[55] <= hphy_inst.O_AFIRDATA55
afi_rdata[56] <= hphy_inst.O_AFIRDATA56
afi_rdata[57] <= hphy_inst.O_AFIRDATA57
afi_rdata[58] <= hphy_inst.O_AFIRDATA58
afi_rdata[59] <= hphy_inst.O_AFIRDATA59
afi_rdata[60] <= hphy_inst.O_AFIRDATA60
afi_rdata[61] <= hphy_inst.O_AFIRDATA61
afi_rdata[62] <= hphy_inst.O_AFIRDATA62
afi_rdata[63] <= hphy_inst.O_AFIRDATA63
afi_rdata[64] <= hphy_inst.O_AFIRDATA64
afi_rdata[65] <= hphy_inst.O_AFIRDATA65
afi_rdata[66] <= hphy_inst.O_AFIRDATA66
afi_rdata[67] <= hphy_inst.O_AFIRDATA67
afi_rdata[68] <= hphy_inst.O_AFIRDATA68
afi_rdata[69] <= hphy_inst.O_AFIRDATA69
afi_rdata[70] <= hphy_inst.O_AFIRDATA70
afi_rdata[71] <= hphy_inst.O_AFIRDATA71
afi_rdata[72] <= hphy_inst.O_AFIRDATA72
afi_rdata[73] <= hphy_inst.O_AFIRDATA73
afi_rdata[74] <= hphy_inst.O_AFIRDATA74
afi_rdata[75] <= hphy_inst.O_AFIRDATA75
afi_rdata[76] <= hphy_inst.O_AFIRDATA76
afi_rdata[77] <= hphy_inst.O_AFIRDATA77
afi_rdata[78] <= hphy_inst.O_AFIRDATA78
afi_rdata[79] <= hphy_inst.O_AFIRDATA79
afi_rdata_en[0] => hphy_inst.I_AFIRDATAEN
afi_rdata_en[1] => hphy_inst.I_AFIRDATAEN1
afi_rdata_en[2] => hphy_inst.I_AFIRDATAEN2
afi_rdata_en[3] => hphy_inst.I_AFIRDATAEN3
afi_rdata_en[4] => hphy_inst.I_AFIRDATAEN4
afi_rdata_en_full[0] => hphy_inst.I_AFIRDATAENFULL
afi_rdata_en_full[1] => hphy_inst.I_AFIRDATAENFULL1
afi_rdata_en_full[2] => hphy_inst.I_AFIRDATAENFULL2
afi_rdata_en_full[3] => hphy_inst.I_AFIRDATAENFULL3
afi_rdata_en_full[4] => hphy_inst.I_AFIRDATAENFULL4
afi_rdata_valid[0] <= hphy_inst.O_AFIRDATAVALID
afi_wlat[0] <= hphy_inst.O_AFIWLAT
afi_wlat[1] <= hphy_inst.O_AFIWLAT1
afi_wlat[2] <= hphy_inst.O_AFIWLAT2
afi_wlat[3] <= hphy_inst.O_AFIWLAT3
afi_rlat[0] <= hphy_inst.O_AFIRLAT
afi_rlat[1] <= hphy_inst.O_AFIRLAT1
afi_rlat[2] <= hphy_inst.O_AFIRLAT2
afi_rlat[3] <= hphy_inst.O_AFIRLAT3
afi_rlat[4] <= hphy_inst.O_AFIRLAT4
afi_cal_success <= hphy_inst.O_AFICALSUCCESS
afi_cal_fail <= hphy_inst.O_AFICALFAIL
avl_read => hphy_inst.I_AVLREAD
avl_write => hphy_inst.I_AVLWRITE
avl_address[0] => hphy_inst.I_AVLADDRESS
avl_address[1] => hphy_inst.I_AVLADDRESS1
avl_address[2] => hphy_inst.I_AVLADDRESS2
avl_address[3] => hphy_inst.I_AVLADDRESS3
avl_address[4] => hphy_inst.I_AVLADDRESS4
avl_address[5] => hphy_inst.I_AVLADDRESS5
avl_address[6] => hphy_inst.I_AVLADDRESS6
avl_address[7] => hphy_inst.I_AVLADDRESS7
avl_address[8] => hphy_inst.I_AVLADDRESS8
avl_address[9] => hphy_inst.I_AVLADDRESS9
avl_address[10] => hphy_inst.I_AVLADDRESS10
avl_address[11] => hphy_inst.I_AVLADDRESS11
avl_address[12] => hphy_inst.I_AVLADDRESS12
avl_address[13] => hphy_inst.I_AVLADDRESS13
avl_address[14] => hphy_inst.I_AVLADDRESS14
avl_address[15] => hphy_inst.I_AVLADDRESS15
avl_writedata[0] => hphy_inst.I_AVLWRITEDATA
avl_writedata[1] => hphy_inst.I_AVLWRITEDATA1
avl_writedata[2] => hphy_inst.I_AVLWRITEDATA2
avl_writedata[3] => hphy_inst.I_AVLWRITEDATA3
avl_writedata[4] => hphy_inst.I_AVLWRITEDATA4
avl_writedata[5] => hphy_inst.I_AVLWRITEDATA5
avl_writedata[6] => hphy_inst.I_AVLWRITEDATA6
avl_writedata[7] => hphy_inst.I_AVLWRITEDATA7
avl_writedata[8] => hphy_inst.I_AVLWRITEDATA8
avl_writedata[9] => hphy_inst.I_AVLWRITEDATA9
avl_writedata[10] => hphy_inst.I_AVLWRITEDATA10
avl_writedata[11] => hphy_inst.I_AVLWRITEDATA11
avl_writedata[12] => hphy_inst.I_AVLWRITEDATA12
avl_writedata[13] => hphy_inst.I_AVLWRITEDATA13
avl_writedata[14] => hphy_inst.I_AVLWRITEDATA14
avl_writedata[15] => hphy_inst.I_AVLWRITEDATA15
avl_writedata[16] => hphy_inst.I_AVLWRITEDATA16
avl_writedata[17] => hphy_inst.I_AVLWRITEDATA17
avl_writedata[18] => hphy_inst.I_AVLWRITEDATA18
avl_writedata[19] => hphy_inst.I_AVLWRITEDATA19
avl_writedata[20] => hphy_inst.I_AVLWRITEDATA20
avl_writedata[21] => hphy_inst.I_AVLWRITEDATA21
avl_writedata[22] => hphy_inst.I_AVLWRITEDATA22
avl_writedata[23] => hphy_inst.I_AVLWRITEDATA23
avl_writedata[24] => hphy_inst.I_AVLWRITEDATA24
avl_writedata[25] => hphy_inst.I_AVLWRITEDATA25
avl_writedata[26] => hphy_inst.I_AVLWRITEDATA26
avl_writedata[27] => hphy_inst.I_AVLWRITEDATA27
avl_writedata[28] => hphy_inst.I_AVLWRITEDATA28
avl_writedata[29] => hphy_inst.I_AVLWRITEDATA29
avl_writedata[30] => hphy_inst.I_AVLWRITEDATA30
avl_writedata[31] => hphy_inst.I_AVLWRITEDATA31
avl_waitrequest <= hphy_inst.O_AVLWAITREQUEST
avl_readdata[0] <= hphy_inst.O_AVLREADDATA
avl_readdata[1] <= hphy_inst.O_AVLREADDATA1
avl_readdata[2] <= hphy_inst.O_AVLREADDATA2
avl_readdata[3] <= hphy_inst.O_AVLREADDATA3
avl_readdata[4] <= hphy_inst.O_AVLREADDATA4
avl_readdata[5] <= hphy_inst.O_AVLREADDATA5
avl_readdata[6] <= hphy_inst.O_AVLREADDATA6
avl_readdata[7] <= hphy_inst.O_AVLREADDATA7
avl_readdata[8] <= hphy_inst.O_AVLREADDATA8
avl_readdata[9] <= hphy_inst.O_AVLREADDATA9
avl_readdata[10] <= hphy_inst.O_AVLREADDATA10
avl_readdata[11] <= hphy_inst.O_AVLREADDATA11
avl_readdata[12] <= hphy_inst.O_AVLREADDATA12
avl_readdata[13] <= hphy_inst.O_AVLREADDATA13
avl_readdata[14] <= hphy_inst.O_AVLREADDATA14
avl_readdata[15] <= hphy_inst.O_AVLREADDATA15
avl_readdata[16] <= hphy_inst.O_AVLREADDATA16
avl_readdata[17] <= hphy_inst.O_AVLREADDATA17
avl_readdata[18] <= hphy_inst.O_AVLREADDATA18
avl_readdata[19] <= hphy_inst.O_AVLREADDATA19
avl_readdata[20] <= hphy_inst.O_AVLREADDATA20
avl_readdata[21] <= hphy_inst.O_AVLREADDATA21
avl_readdata[22] <= hphy_inst.O_AVLREADDATA22
avl_readdata[23] <= hphy_inst.O_AVLREADDATA23
avl_readdata[24] <= hphy_inst.O_AVLREADDATA24
avl_readdata[25] <= hphy_inst.O_AVLREADDATA25
avl_readdata[26] <= hphy_inst.O_AVLREADDATA26
avl_readdata[27] <= hphy_inst.O_AVLREADDATA27
avl_readdata[28] <= hphy_inst.O_AVLREADDATA28
avl_readdata[29] <= hphy_inst.O_AVLREADDATA29
avl_readdata[30] <= hphy_inst.O_AVLREADDATA30
avl_readdata[31] <= hphy_inst.O_AVLREADDATA31
cfg_addlat[0] => hphy_inst.I_CFGADDLAT
cfg_addlat[1] => hphy_inst.I_CFGADDLAT1
cfg_addlat[2] => hphy_inst.I_CFGADDLAT2
cfg_addlat[3] => hphy_inst.I_CFGADDLAT3
cfg_addlat[4] => hphy_inst.I_CFGADDLAT4
cfg_addlat[5] => hphy_inst.I_CFGADDLAT5
cfg_addlat[6] => hphy_inst.I_CFGADDLAT6
cfg_addlat[7] => hphy_inst.I_CFGADDLAT7
cfg_bankaddrwidth[0] => hphy_inst.I_CFGBANKADDRWIDTH
cfg_bankaddrwidth[1] => hphy_inst.I_CFGBANKADDRWIDTH1
cfg_bankaddrwidth[2] => hphy_inst.I_CFGBANKADDRWIDTH2
cfg_bankaddrwidth[3] => hphy_inst.I_CFGBANKADDRWIDTH3
cfg_bankaddrwidth[4] => hphy_inst.I_CFGBANKADDRWIDTH4
cfg_bankaddrwidth[5] => hphy_inst.I_CFGBANKADDRWIDTH5
cfg_bankaddrwidth[6] => hphy_inst.I_CFGBANKADDRWIDTH6
cfg_bankaddrwidth[7] => hphy_inst.I_CFGBANKADDRWIDTH7
cfg_caswrlat[0] => hphy_inst.I_CFGCASWRLAT
cfg_caswrlat[1] => hphy_inst.I_CFGCASWRLAT1
cfg_caswrlat[2] => hphy_inst.I_CFGCASWRLAT2
cfg_caswrlat[3] => hphy_inst.I_CFGCASWRLAT3
cfg_caswrlat[4] => hphy_inst.I_CFGCASWRLAT4
cfg_caswrlat[5] => hphy_inst.I_CFGCASWRLAT5
cfg_caswrlat[6] => hphy_inst.I_CFGCASWRLAT6
cfg_caswrlat[7] => hphy_inst.I_CFGCASWRLAT7
cfg_coladdrwidth[0] => hphy_inst.I_CFGCOLADDRWIDTH
cfg_coladdrwidth[1] => hphy_inst.I_CFGCOLADDRWIDTH1
cfg_coladdrwidth[2] => hphy_inst.I_CFGCOLADDRWIDTH2
cfg_coladdrwidth[3] => hphy_inst.I_CFGCOLADDRWIDTH3
cfg_coladdrwidth[4] => hphy_inst.I_CFGCOLADDRWIDTH4
cfg_coladdrwidth[5] => hphy_inst.I_CFGCOLADDRWIDTH5
cfg_coladdrwidth[6] => hphy_inst.I_CFGCOLADDRWIDTH6
cfg_coladdrwidth[7] => hphy_inst.I_CFGCOLADDRWIDTH7
cfg_csaddrwidth[0] => hphy_inst.I_CFGCSADDRWIDTH
cfg_csaddrwidth[1] => hphy_inst.I_CFGCSADDRWIDTH1
cfg_csaddrwidth[2] => hphy_inst.I_CFGCSADDRWIDTH2
cfg_csaddrwidth[3] => hphy_inst.I_CFGCSADDRWIDTH3
cfg_csaddrwidth[4] => hphy_inst.I_CFGCSADDRWIDTH4
cfg_csaddrwidth[5] => hphy_inst.I_CFGCSADDRWIDTH5
cfg_csaddrwidth[6] => hphy_inst.I_CFGCSADDRWIDTH6
cfg_csaddrwidth[7] => hphy_inst.I_CFGCSADDRWIDTH7
cfg_devicewidth[0] => hphy_inst.I_CFGDEVICEWIDTH
cfg_devicewidth[1] => hphy_inst.I_CFGDEVICEWIDTH1
cfg_devicewidth[2] => hphy_inst.I_CFGDEVICEWIDTH2
cfg_devicewidth[3] => hphy_inst.I_CFGDEVICEWIDTH3
cfg_devicewidth[4] => hphy_inst.I_CFGDEVICEWIDTH4
cfg_devicewidth[5] => hphy_inst.I_CFGDEVICEWIDTH5
cfg_devicewidth[6] => hphy_inst.I_CFGDEVICEWIDTH6
cfg_devicewidth[7] => hphy_inst.I_CFGDEVICEWIDTH7
cfg_dramconfig[0] => hphy_inst.I_CFGDRAMCONFIG
cfg_dramconfig[1] => hphy_inst.I_CFGDRAMCONFIG1
cfg_dramconfig[2] => hphy_inst.I_CFGDRAMCONFIG2
cfg_dramconfig[3] => hphy_inst.I_CFGDRAMCONFIG3
cfg_dramconfig[4] => hphy_inst.I_CFGDRAMCONFIG4
cfg_dramconfig[5] => hphy_inst.I_CFGDRAMCONFIG5
cfg_dramconfig[6] => hphy_inst.I_CFGDRAMCONFIG6
cfg_dramconfig[7] => hphy_inst.I_CFGDRAMCONFIG7
cfg_dramconfig[8] => hphy_inst.I_CFGDRAMCONFIG8
cfg_dramconfig[9] => hphy_inst.I_CFGDRAMCONFIG9
cfg_dramconfig[10] => hphy_inst.I_CFGDRAMCONFIG10
cfg_dramconfig[11] => hphy_inst.I_CFGDRAMCONFIG11
cfg_dramconfig[12] => hphy_inst.I_CFGDRAMCONFIG12
cfg_dramconfig[13] => hphy_inst.I_CFGDRAMCONFIG13
cfg_dramconfig[14] => hphy_inst.I_CFGDRAMCONFIG14
cfg_dramconfig[15] => hphy_inst.I_CFGDRAMCONFIG15
cfg_dramconfig[16] => hphy_inst.I_CFGDRAMCONFIG16
cfg_dramconfig[17] => hphy_inst.I_CFGDRAMCONFIG17
cfg_dramconfig[18] => hphy_inst.I_CFGDRAMCONFIG18
cfg_dramconfig[19] => hphy_inst.I_CFGDRAMCONFIG19
cfg_dramconfig[20] => hphy_inst.I_CFGDRAMCONFIG20
cfg_dramconfig[21] => hphy_inst.I_CFGDRAMCONFIG21
cfg_dramconfig[22] => hphy_inst.I_CFGDRAMCONFIG22
cfg_dramconfig[23] => hphy_inst.I_CFGDRAMCONFIG23
cfg_interfacewidth[0] => hphy_inst.I_CFGINTERFACEWIDTH
cfg_interfacewidth[1] => hphy_inst.I_CFGINTERFACEWIDTH1
cfg_interfacewidth[2] => hphy_inst.I_CFGINTERFACEWIDTH2
cfg_interfacewidth[3] => hphy_inst.I_CFGINTERFACEWIDTH3
cfg_interfacewidth[4] => hphy_inst.I_CFGINTERFACEWIDTH4
cfg_interfacewidth[5] => hphy_inst.I_CFGINTERFACEWIDTH5
cfg_interfacewidth[6] => hphy_inst.I_CFGINTERFACEWIDTH6
cfg_interfacewidth[7] => hphy_inst.I_CFGINTERFACEWIDTH7
cfg_rowaddrwidth[0] => hphy_inst.I_CFGROWADDRWIDTH
cfg_rowaddrwidth[1] => hphy_inst.I_CFGROWADDRWIDTH1
cfg_rowaddrwidth[2] => hphy_inst.I_CFGROWADDRWIDTH2
cfg_rowaddrwidth[3] => hphy_inst.I_CFGROWADDRWIDTH3
cfg_rowaddrwidth[4] => hphy_inst.I_CFGROWADDRWIDTH4
cfg_rowaddrwidth[5] => hphy_inst.I_CFGROWADDRWIDTH5
cfg_rowaddrwidth[6] => hphy_inst.I_CFGROWADDRWIDTH6
cfg_rowaddrwidth[7] => hphy_inst.I_CFGROWADDRWIDTH7
cfg_tcl[0] => hphy_inst.I_CFGTCL
cfg_tcl[1] => hphy_inst.I_CFGTCL1
cfg_tcl[2] => hphy_inst.I_CFGTCL2
cfg_tcl[3] => hphy_inst.I_CFGTCL3
cfg_tcl[4] => hphy_inst.I_CFGTCL4
cfg_tcl[5] => hphy_inst.I_CFGTCL5
cfg_tcl[6] => hphy_inst.I_CFGTCL6
cfg_tcl[7] => hphy_inst.I_CFGTCL7
cfg_tmrd[0] => hphy_inst.I_CFGTMRD
cfg_tmrd[1] => hphy_inst.I_CFGTMRD1
cfg_tmrd[2] => hphy_inst.I_CFGTMRD2
cfg_tmrd[3] => hphy_inst.I_CFGTMRD3
cfg_tmrd[4] => hphy_inst.I_CFGTMRD4
cfg_tmrd[5] => hphy_inst.I_CFGTMRD5
cfg_tmrd[6] => hphy_inst.I_CFGTMRD6
cfg_tmrd[7] => hphy_inst.I_CFGTMRD7
cfg_trefi[0] => hphy_inst.I_CFGTREFI
cfg_trefi[1] => hphy_inst.I_CFGTREFI1
cfg_trefi[2] => hphy_inst.I_CFGTREFI2
cfg_trefi[3] => hphy_inst.I_CFGTREFI3
cfg_trefi[4] => hphy_inst.I_CFGTREFI4
cfg_trefi[5] => hphy_inst.I_CFGTREFI5
cfg_trefi[6] => hphy_inst.I_CFGTREFI6
cfg_trefi[7] => hphy_inst.I_CFGTREFI7
cfg_trefi[8] => hphy_inst.I_CFGTREFI8
cfg_trefi[9] => hphy_inst.I_CFGTREFI9
cfg_trefi[10] => hphy_inst.I_CFGTREFI10
cfg_trefi[11] => hphy_inst.I_CFGTREFI11
cfg_trefi[12] => hphy_inst.I_CFGTREFI12
cfg_trefi[13] => hphy_inst.I_CFGTREFI13
cfg_trefi[14] => hphy_inst.I_CFGTREFI14
cfg_trefi[15] => hphy_inst.I_CFGTREFI15
cfg_trfc[0] => hphy_inst.I_CFGTRFC
cfg_trfc[1] => hphy_inst.I_CFGTRFC1
cfg_trfc[2] => hphy_inst.I_CFGTRFC2
cfg_trfc[3] => hphy_inst.I_CFGTRFC3
cfg_trfc[4] => hphy_inst.I_CFGTRFC4
cfg_trfc[5] => hphy_inst.I_CFGTRFC5
cfg_trfc[6] => hphy_inst.I_CFGTRFC6
cfg_trfc[7] => hphy_inst.I_CFGTRFC7
cfg_twr[0] => hphy_inst.I_CFGTWR
cfg_twr[1] => hphy_inst.I_CFGTWR1
cfg_twr[2] => hphy_inst.I_CFGTWR2
cfg_twr[3] => hphy_inst.I_CFGTWR3
cfg_twr[4] => hphy_inst.I_CFGTWR4
cfg_twr[5] => hphy_inst.I_CFGTWR5
cfg_twr[6] => hphy_inst.I_CFGTWR6
cfg_twr[7] => hphy_inst.I_CFGTWR7
io_intaddrdout[0] => hphy_inst.I_IOINTADDRDOUT
io_intaddrdout[1] => hphy_inst.I_IOINTADDRDOUT1
io_intaddrdout[2] => hphy_inst.I_IOINTADDRDOUT2
io_intaddrdout[3] => hphy_inst.I_IOINTADDRDOUT3
io_intaddrdout[4] => hphy_inst.I_IOINTADDRDOUT4
io_intaddrdout[5] => hphy_inst.I_IOINTADDRDOUT5
io_intaddrdout[6] => hphy_inst.I_IOINTADDRDOUT6
io_intaddrdout[7] => hphy_inst.I_IOINTADDRDOUT7
io_intaddrdout[8] => hphy_inst.I_IOINTADDRDOUT8
io_intaddrdout[9] => hphy_inst.I_IOINTADDRDOUT9
io_intaddrdout[10] => hphy_inst.I_IOINTADDRDOUT10
io_intaddrdout[11] => hphy_inst.I_IOINTADDRDOUT11
io_intaddrdout[12] => hphy_inst.I_IOINTADDRDOUT12
io_intaddrdout[13] => hphy_inst.I_IOINTADDRDOUT13
io_intaddrdout[14] => hphy_inst.I_IOINTADDRDOUT14
io_intaddrdout[15] => hphy_inst.I_IOINTADDRDOUT15
io_intaddrdout[16] => hphy_inst.I_IOINTADDRDOUT16
io_intaddrdout[17] => hphy_inst.I_IOINTADDRDOUT17
io_intaddrdout[18] => hphy_inst.I_IOINTADDRDOUT18
io_intaddrdout[19] => hphy_inst.I_IOINTADDRDOUT19
io_intaddrdout[20] => hphy_inst.I_IOINTADDRDOUT20
io_intaddrdout[21] => hphy_inst.I_IOINTADDRDOUT21
io_intaddrdout[22] => hphy_inst.I_IOINTADDRDOUT22
io_intaddrdout[23] => hphy_inst.I_IOINTADDRDOUT23
io_intaddrdout[24] => hphy_inst.I_IOINTADDRDOUT24
io_intaddrdout[25] => hphy_inst.I_IOINTADDRDOUT25
io_intaddrdout[26] => hphy_inst.I_IOINTADDRDOUT26
io_intaddrdout[27] => hphy_inst.I_IOINTADDRDOUT27
io_intaddrdout[28] => hphy_inst.I_IOINTADDRDOUT28
io_intaddrdout[29] => hphy_inst.I_IOINTADDRDOUT29
io_intaddrdout[30] => hphy_inst.I_IOINTADDRDOUT30
io_intaddrdout[31] => hphy_inst.I_IOINTADDRDOUT31
io_intaddrdout[32] => hphy_inst.I_IOINTADDRDOUT32
io_intaddrdout[33] => hphy_inst.I_IOINTADDRDOUT33
io_intaddrdout[34] => hphy_inst.I_IOINTADDRDOUT34
io_intaddrdout[35] => hphy_inst.I_IOINTADDRDOUT35
io_intaddrdout[36] => hphy_inst.I_IOINTADDRDOUT36
io_intaddrdout[37] => hphy_inst.I_IOINTADDRDOUT37
io_intaddrdout[38] => hphy_inst.I_IOINTADDRDOUT38
io_intaddrdout[39] => hphy_inst.I_IOINTADDRDOUT39
io_intaddrdout[40] => hphy_inst.I_IOINTADDRDOUT40
io_intaddrdout[41] => hphy_inst.I_IOINTADDRDOUT41
io_intaddrdout[42] => hphy_inst.I_IOINTADDRDOUT42
io_intaddrdout[43] => hphy_inst.I_IOINTADDRDOUT43
io_intaddrdout[44] => hphy_inst.I_IOINTADDRDOUT44
io_intaddrdout[45] => hphy_inst.I_IOINTADDRDOUT45
io_intaddrdout[46] => hphy_inst.I_IOINTADDRDOUT46
io_intaddrdout[47] => hphy_inst.I_IOINTADDRDOUT47
io_intaddrdout[48] => hphy_inst.I_IOINTADDRDOUT48
io_intaddrdout[49] => hphy_inst.I_IOINTADDRDOUT49
io_intaddrdout[50] => hphy_inst.I_IOINTADDRDOUT50
io_intaddrdout[51] => hphy_inst.I_IOINTADDRDOUT51
io_intaddrdout[52] => hphy_inst.I_IOINTADDRDOUT52
io_intaddrdout[53] => hphy_inst.I_IOINTADDRDOUT53
io_intaddrdout[54] => hphy_inst.I_IOINTADDRDOUT54
io_intaddrdout[55] => hphy_inst.I_IOINTADDRDOUT55
io_intaddrdout[56] => hphy_inst.I_IOINTADDRDOUT56
io_intaddrdout[57] => hphy_inst.I_IOINTADDRDOUT57
io_intaddrdout[58] => hphy_inst.I_IOINTADDRDOUT58
io_intaddrdout[59] => hphy_inst.I_IOINTADDRDOUT59
io_intaddrdout[60] => hphy_inst.I_IOINTADDRDOUT60
io_intaddrdout[61] => hphy_inst.I_IOINTADDRDOUT61
io_intaddrdout[62] => hphy_inst.I_IOINTADDRDOUT62
io_intaddrdout[63] => hphy_inst.I_IOINTADDRDOUT63
io_intbadout[0] => hphy_inst.I_IOINTBADOUT
io_intbadout[1] => hphy_inst.I_IOINTBADOUT1
io_intbadout[2] => hphy_inst.I_IOINTBADOUT2
io_intbadout[3] => hphy_inst.I_IOINTBADOUT3
io_intbadout[4] => hphy_inst.I_IOINTBADOUT4
io_intbadout[5] => hphy_inst.I_IOINTBADOUT5
io_intbadout[6] => hphy_inst.I_IOINTBADOUT6
io_intbadout[7] => hphy_inst.I_IOINTBADOUT7
io_intbadout[8] => hphy_inst.I_IOINTBADOUT8
io_intbadout[9] => hphy_inst.I_IOINTBADOUT9
io_intbadout[10] => hphy_inst.I_IOINTBADOUT10
io_intbadout[11] => hphy_inst.I_IOINTBADOUT11
io_intcasndout[0] => hphy_inst.I_IOINTCASNDOUT
io_intcasndout[1] => hphy_inst.I_IOINTCASNDOUT1
io_intcasndout[2] => hphy_inst.I_IOINTCASNDOUT2
io_intcasndout[3] => hphy_inst.I_IOINTCASNDOUT3
io_intckdout[0] => hphy_inst.I_IOINTCKDOUT
io_intckdout[1] => hphy_inst.I_IOINTCKDOUT1
io_intckdout[2] => hphy_inst.I_IOINTCKDOUT2
io_intckdout[3] => hphy_inst.I_IOINTCKDOUT3
io_intckedout[0] => hphy_inst.I_IOINTCKEDOUT
io_intckedout[1] => hphy_inst.I_IOINTCKEDOUT1
io_intckedout[2] => hphy_inst.I_IOINTCKEDOUT2
io_intckedout[3] => hphy_inst.I_IOINTCKEDOUT3
io_intckedout[4] => hphy_inst.I_IOINTCKEDOUT4
io_intckedout[5] => hphy_inst.I_IOINTCKEDOUT5
io_intckedout[6] => hphy_inst.I_IOINTCKEDOUT6
io_intckedout[7] => hphy_inst.I_IOINTCKEDOUT7
io_intckndout[0] => hphy_inst.I_IOINTCKNDOUT
io_intckndout[1] => hphy_inst.I_IOINTCKNDOUT1
io_intckndout[2] => hphy_inst.I_IOINTCKNDOUT2
io_intckndout[3] => hphy_inst.I_IOINTCKNDOUT3
io_intcsndout[0] => hphy_inst.I_IOINTCSNDOUT
io_intcsndout[1] => hphy_inst.I_IOINTCSNDOUT1
io_intcsndout[2] => hphy_inst.I_IOINTCSNDOUT2
io_intcsndout[3] => hphy_inst.I_IOINTCSNDOUT3
io_intcsndout[4] => hphy_inst.I_IOINTCSNDOUT4
io_intcsndout[5] => hphy_inst.I_IOINTCSNDOUT5
io_intcsndout[6] => hphy_inst.I_IOINTCSNDOUT6
io_intcsndout[7] => hphy_inst.I_IOINTCSNDOUT7
io_intdmdout[0] => hphy_inst.I_IOINTDMDOUT
io_intdmdout[1] => hphy_inst.I_IOINTDMDOUT1
io_intdmdout[2] => hphy_inst.I_IOINTDMDOUT2
io_intdmdout[3] => hphy_inst.I_IOINTDMDOUT3
io_intdmdout[4] => hphy_inst.I_IOINTDMDOUT4
io_intdmdout[5] => hphy_inst.I_IOINTDMDOUT5
io_intdmdout[6] => hphy_inst.I_IOINTDMDOUT6
io_intdmdout[7] => hphy_inst.I_IOINTDMDOUT7
io_intdmdout[8] => hphy_inst.I_IOINTDMDOUT8
io_intdmdout[9] => hphy_inst.I_IOINTDMDOUT9
io_intdmdout[10] => hphy_inst.I_IOINTDMDOUT10
io_intdmdout[11] => hphy_inst.I_IOINTDMDOUT11
io_intdmdout[12] => hphy_inst.I_IOINTDMDOUT12
io_intdmdout[13] => hphy_inst.I_IOINTDMDOUT13
io_intdmdout[14] => hphy_inst.I_IOINTDMDOUT14
io_intdmdout[15] => hphy_inst.I_IOINTDMDOUT15
io_intdmdout[16] => hphy_inst.I_IOINTDMDOUT16
io_intdmdout[17] => hphy_inst.I_IOINTDMDOUT17
io_intdmdout[18] => hphy_inst.I_IOINTDMDOUT18
io_intdmdout[19] => hphy_inst.I_IOINTDMDOUT19
io_intdqdin[0] <= hphy_inst.O_IOINTDQDIN
io_intdqdin[1] <= hphy_inst.O_IOINTDQDIN1
io_intdqdin[2] <= hphy_inst.O_IOINTDQDIN2
io_intdqdin[3] <= hphy_inst.O_IOINTDQDIN3
io_intdqdin[4] <= hphy_inst.O_IOINTDQDIN4
io_intdqdin[5] <= hphy_inst.O_IOINTDQDIN5
io_intdqdin[6] <= hphy_inst.O_IOINTDQDIN6
io_intdqdin[7] <= hphy_inst.O_IOINTDQDIN7
io_intdqdin[8] <= hphy_inst.O_IOINTDQDIN8
io_intdqdin[9] <= hphy_inst.O_IOINTDQDIN9
io_intdqdin[10] <= hphy_inst.O_IOINTDQDIN10
io_intdqdin[11] <= hphy_inst.O_IOINTDQDIN11
io_intdqdin[12] <= hphy_inst.O_IOINTDQDIN12
io_intdqdin[13] <= hphy_inst.O_IOINTDQDIN13
io_intdqdin[14] <= hphy_inst.O_IOINTDQDIN14
io_intdqdin[15] <= hphy_inst.O_IOINTDQDIN15
io_intdqdin[16] <= hphy_inst.O_IOINTDQDIN16
io_intdqdin[17] <= hphy_inst.O_IOINTDQDIN17
io_intdqdin[18] <= hphy_inst.O_IOINTDQDIN18
io_intdqdin[19] <= hphy_inst.O_IOINTDQDIN19
io_intdqdin[20] <= hphy_inst.O_IOINTDQDIN20
io_intdqdin[21] <= hphy_inst.O_IOINTDQDIN21
io_intdqdin[22] <= hphy_inst.O_IOINTDQDIN22
io_intdqdin[23] <= hphy_inst.O_IOINTDQDIN23
io_intdqdin[24] <= hphy_inst.O_IOINTDQDIN24
io_intdqdin[25] <= hphy_inst.O_IOINTDQDIN25
io_intdqdin[26] <= hphy_inst.O_IOINTDQDIN26
io_intdqdin[27] <= hphy_inst.O_IOINTDQDIN27
io_intdqdin[28] <= hphy_inst.O_IOINTDQDIN28
io_intdqdin[29] <= hphy_inst.O_IOINTDQDIN29
io_intdqdin[30] <= hphy_inst.O_IOINTDQDIN30
io_intdqdin[31] <= hphy_inst.O_IOINTDQDIN31
io_intdqdin[32] <= hphy_inst.O_IOINTDQDIN32
io_intdqdin[33] <= hphy_inst.O_IOINTDQDIN33
io_intdqdin[34] <= hphy_inst.O_IOINTDQDIN34
io_intdqdin[35] <= hphy_inst.O_IOINTDQDIN35
io_intdqdin[36] <= hphy_inst.O_IOINTDQDIN36
io_intdqdin[37] <= hphy_inst.O_IOINTDQDIN37
io_intdqdin[38] <= hphy_inst.O_IOINTDQDIN38
io_intdqdin[39] <= hphy_inst.O_IOINTDQDIN39
io_intdqdin[40] <= hphy_inst.O_IOINTDQDIN40
io_intdqdin[41] <= hphy_inst.O_IOINTDQDIN41
io_intdqdin[42] <= hphy_inst.O_IOINTDQDIN42
io_intdqdin[43] <= hphy_inst.O_IOINTDQDIN43
io_intdqdin[44] <= hphy_inst.O_IOINTDQDIN44
io_intdqdin[45] <= hphy_inst.O_IOINTDQDIN45
io_intdqdin[46] <= hphy_inst.O_IOINTDQDIN46
io_intdqdin[47] <= hphy_inst.O_IOINTDQDIN47
io_intdqdin[48] <= hphy_inst.O_IOINTDQDIN48
io_intdqdin[49] <= hphy_inst.O_IOINTDQDIN49
io_intdqdin[50] <= hphy_inst.O_IOINTDQDIN50
io_intdqdin[51] <= hphy_inst.O_IOINTDQDIN51
io_intdqdin[52] <= hphy_inst.O_IOINTDQDIN52
io_intdqdin[53] <= hphy_inst.O_IOINTDQDIN53
io_intdqdin[54] <= hphy_inst.O_IOINTDQDIN54
io_intdqdin[55] <= hphy_inst.O_IOINTDQDIN55
io_intdqdin[56] <= hphy_inst.O_IOINTDQDIN56
io_intdqdin[57] <= hphy_inst.O_IOINTDQDIN57
io_intdqdin[58] <= hphy_inst.O_IOINTDQDIN58
io_intdqdin[59] <= hphy_inst.O_IOINTDQDIN59
io_intdqdin[60] <= hphy_inst.O_IOINTDQDIN60
io_intdqdin[61] <= hphy_inst.O_IOINTDQDIN61
io_intdqdin[62] <= hphy_inst.O_IOINTDQDIN62
io_intdqdin[63] <= hphy_inst.O_IOINTDQDIN63
io_intdqdin[64] <= hphy_inst.O_IOINTDQDIN64
io_intdqdin[65] <= hphy_inst.O_IOINTDQDIN65
io_intdqdin[66] <= hphy_inst.O_IOINTDQDIN66
io_intdqdin[67] <= hphy_inst.O_IOINTDQDIN67
io_intdqdin[68] <= hphy_inst.O_IOINTDQDIN68
io_intdqdin[69] <= hphy_inst.O_IOINTDQDIN69
io_intdqdin[70] <= hphy_inst.O_IOINTDQDIN70
io_intdqdin[71] <= hphy_inst.O_IOINTDQDIN71
io_intdqdin[72] <= hphy_inst.O_IOINTDQDIN72
io_intdqdin[73] <= hphy_inst.O_IOINTDQDIN73
io_intdqdin[74] <= hphy_inst.O_IOINTDQDIN74
io_intdqdin[75] <= hphy_inst.O_IOINTDQDIN75
io_intdqdin[76] <= hphy_inst.O_IOINTDQDIN76
io_intdqdin[77] <= hphy_inst.O_IOINTDQDIN77
io_intdqdin[78] <= hphy_inst.O_IOINTDQDIN78
io_intdqdin[79] <= hphy_inst.O_IOINTDQDIN79
io_intdqdin[80] <= hphy_inst.O_IOINTDQDIN80
io_intdqdin[81] <= hphy_inst.O_IOINTDQDIN81
io_intdqdin[82] <= hphy_inst.O_IOINTDQDIN82
io_intdqdin[83] <= hphy_inst.O_IOINTDQDIN83
io_intdqdin[84] <= hphy_inst.O_IOINTDQDIN84
io_intdqdin[85] <= hphy_inst.O_IOINTDQDIN85
io_intdqdin[86] <= hphy_inst.O_IOINTDQDIN86
io_intdqdin[87] <= hphy_inst.O_IOINTDQDIN87
io_intdqdin[88] <= hphy_inst.O_IOINTDQDIN88
io_intdqdin[89] <= hphy_inst.O_IOINTDQDIN89
io_intdqdin[90] <= hphy_inst.O_IOINTDQDIN90
io_intdqdin[91] <= hphy_inst.O_IOINTDQDIN91
io_intdqdin[92] <= hphy_inst.O_IOINTDQDIN92
io_intdqdin[93] <= hphy_inst.O_IOINTDQDIN93
io_intdqdin[94] <= hphy_inst.O_IOINTDQDIN94
io_intdqdin[95] <= hphy_inst.O_IOINTDQDIN95
io_intdqdin[96] <= hphy_inst.O_IOINTDQDIN96
io_intdqdin[97] <= hphy_inst.O_IOINTDQDIN97
io_intdqdin[98] <= hphy_inst.O_IOINTDQDIN98
io_intdqdin[99] <= hphy_inst.O_IOINTDQDIN99
io_intdqdin[100] <= hphy_inst.O_IOINTDQDIN100
io_intdqdin[101] <= hphy_inst.O_IOINTDQDIN101
io_intdqdin[102] <= hphy_inst.O_IOINTDQDIN102
io_intdqdin[103] <= hphy_inst.O_IOINTDQDIN103
io_intdqdin[104] <= hphy_inst.O_IOINTDQDIN104
io_intdqdin[105] <= hphy_inst.O_IOINTDQDIN105
io_intdqdin[106] <= hphy_inst.O_IOINTDQDIN106
io_intdqdin[107] <= hphy_inst.O_IOINTDQDIN107
io_intdqdin[108] <= hphy_inst.O_IOINTDQDIN108
io_intdqdin[109] <= hphy_inst.O_IOINTDQDIN109
io_intdqdin[110] <= hphy_inst.O_IOINTDQDIN110
io_intdqdin[111] <= hphy_inst.O_IOINTDQDIN111
io_intdqdin[112] <= hphy_inst.O_IOINTDQDIN112
io_intdqdin[113] <= hphy_inst.O_IOINTDQDIN113
io_intdqdin[114] <= hphy_inst.O_IOINTDQDIN114
io_intdqdin[115] <= hphy_inst.O_IOINTDQDIN115
io_intdqdin[116] <= hphy_inst.O_IOINTDQDIN116
io_intdqdin[117] <= hphy_inst.O_IOINTDQDIN117
io_intdqdin[118] <= hphy_inst.O_IOINTDQDIN118
io_intdqdin[119] <= hphy_inst.O_IOINTDQDIN119
io_intdqdin[120] <= hphy_inst.O_IOINTDQDIN120
io_intdqdin[121] <= hphy_inst.O_IOINTDQDIN121
io_intdqdin[122] <= hphy_inst.O_IOINTDQDIN122
io_intdqdin[123] <= hphy_inst.O_IOINTDQDIN123
io_intdqdin[124] <= hphy_inst.O_IOINTDQDIN124
io_intdqdin[125] <= hphy_inst.O_IOINTDQDIN125
io_intdqdin[126] <= hphy_inst.O_IOINTDQDIN126
io_intdqdin[127] <= hphy_inst.O_IOINTDQDIN127
io_intdqdin[128] <= hphy_inst.O_IOINTDQDIN128
io_intdqdin[129] <= hphy_inst.O_IOINTDQDIN129
io_intdqdin[130] <= hphy_inst.O_IOINTDQDIN130
io_intdqdin[131] <= hphy_inst.O_IOINTDQDIN131
io_intdqdin[132] <= hphy_inst.O_IOINTDQDIN132
io_intdqdin[133] <= hphy_inst.O_IOINTDQDIN133
io_intdqdin[134] <= hphy_inst.O_IOINTDQDIN134
io_intdqdin[135] <= hphy_inst.O_IOINTDQDIN135
io_intdqdin[136] <= hphy_inst.O_IOINTDQDIN136
io_intdqdin[137] <= hphy_inst.O_IOINTDQDIN137
io_intdqdin[138] <= hphy_inst.O_IOINTDQDIN138
io_intdqdin[139] <= hphy_inst.O_IOINTDQDIN139
io_intdqdin[140] <= hphy_inst.O_IOINTDQDIN140
io_intdqdin[141] <= hphy_inst.O_IOINTDQDIN141
io_intdqdin[142] <= hphy_inst.O_IOINTDQDIN142
io_intdqdin[143] <= hphy_inst.O_IOINTDQDIN143
io_intdqdin[144] <= hphy_inst.O_IOINTDQDIN144
io_intdqdin[145] <= hphy_inst.O_IOINTDQDIN145
io_intdqdin[146] <= hphy_inst.O_IOINTDQDIN146
io_intdqdin[147] <= hphy_inst.O_IOINTDQDIN147
io_intdqdin[148] <= hphy_inst.O_IOINTDQDIN148
io_intdqdin[149] <= hphy_inst.O_IOINTDQDIN149
io_intdqdin[150] <= hphy_inst.O_IOINTDQDIN150
io_intdqdin[151] <= hphy_inst.O_IOINTDQDIN151
io_intdqdin[152] <= hphy_inst.O_IOINTDQDIN152
io_intdqdin[153] <= hphy_inst.O_IOINTDQDIN153
io_intdqdin[154] <= hphy_inst.O_IOINTDQDIN154
io_intdqdin[155] <= hphy_inst.O_IOINTDQDIN155
io_intdqdin[156] <= hphy_inst.O_IOINTDQDIN156
io_intdqdin[157] <= hphy_inst.O_IOINTDQDIN157
io_intdqdin[158] <= hphy_inst.O_IOINTDQDIN158
io_intdqdin[159] <= hphy_inst.O_IOINTDQDIN159
io_intdqdin[160] <= hphy_inst.O_IOINTDQDIN160
io_intdqdin[161] <= hphy_inst.O_IOINTDQDIN161
io_intdqdin[162] <= hphy_inst.O_IOINTDQDIN162
io_intdqdin[163] <= hphy_inst.O_IOINTDQDIN163
io_intdqdin[164] <= hphy_inst.O_IOINTDQDIN164
io_intdqdin[165] <= hphy_inst.O_IOINTDQDIN165
io_intdqdin[166] <= hphy_inst.O_IOINTDQDIN166
io_intdqdin[167] <= hphy_inst.O_IOINTDQDIN167
io_intdqdin[168] <= hphy_inst.O_IOINTDQDIN168
io_intdqdin[169] <= hphy_inst.O_IOINTDQDIN169
io_intdqdin[170] <= hphy_inst.O_IOINTDQDIN170
io_intdqdin[171] <= hphy_inst.O_IOINTDQDIN171
io_intdqdin[172] <= hphy_inst.O_IOINTDQDIN172
io_intdqdin[173] <= hphy_inst.O_IOINTDQDIN173
io_intdqdin[174] <= hphy_inst.O_IOINTDQDIN174
io_intdqdin[175] <= hphy_inst.O_IOINTDQDIN175
io_intdqdin[176] <= hphy_inst.O_IOINTDQDIN176
io_intdqdin[177] <= hphy_inst.O_IOINTDQDIN177
io_intdqdin[178] <= hphy_inst.O_IOINTDQDIN178
io_intdqdin[179] <= hphy_inst.O_IOINTDQDIN179
io_intdqdout[0] => hphy_inst.I_IOINTDQDOUT
io_intdqdout[1] => hphy_inst.I_IOINTDQDOUT1
io_intdqdout[2] => hphy_inst.I_IOINTDQDOUT2
io_intdqdout[3] => hphy_inst.I_IOINTDQDOUT3
io_intdqdout[4] => hphy_inst.I_IOINTDQDOUT4
io_intdqdout[5] => hphy_inst.I_IOINTDQDOUT5
io_intdqdout[6] => hphy_inst.I_IOINTDQDOUT6
io_intdqdout[7] => hphy_inst.I_IOINTDQDOUT7
io_intdqdout[8] => hphy_inst.I_IOINTDQDOUT8
io_intdqdout[9] => hphy_inst.I_IOINTDQDOUT9
io_intdqdout[10] => hphy_inst.I_IOINTDQDOUT10
io_intdqdout[11] => hphy_inst.I_IOINTDQDOUT11
io_intdqdout[12] => hphy_inst.I_IOINTDQDOUT12
io_intdqdout[13] => hphy_inst.I_IOINTDQDOUT13
io_intdqdout[14] => hphy_inst.I_IOINTDQDOUT14
io_intdqdout[15] => hphy_inst.I_IOINTDQDOUT15
io_intdqdout[16] => hphy_inst.I_IOINTDQDOUT16
io_intdqdout[17] => hphy_inst.I_IOINTDQDOUT17
io_intdqdout[18] => hphy_inst.I_IOINTDQDOUT18
io_intdqdout[19] => hphy_inst.I_IOINTDQDOUT19
io_intdqdout[20] => hphy_inst.I_IOINTDQDOUT20
io_intdqdout[21] => hphy_inst.I_IOINTDQDOUT21
io_intdqdout[22] => hphy_inst.I_IOINTDQDOUT22
io_intdqdout[23] => hphy_inst.I_IOINTDQDOUT23
io_intdqdout[24] => hphy_inst.I_IOINTDQDOUT24
io_intdqdout[25] => hphy_inst.I_IOINTDQDOUT25
io_intdqdout[26] => hphy_inst.I_IOINTDQDOUT26
io_intdqdout[27] => hphy_inst.I_IOINTDQDOUT27
io_intdqdout[28] => hphy_inst.I_IOINTDQDOUT28
io_intdqdout[29] => hphy_inst.I_IOINTDQDOUT29
io_intdqdout[30] => hphy_inst.I_IOINTDQDOUT30
io_intdqdout[31] => hphy_inst.I_IOINTDQDOUT31
io_intdqdout[32] => hphy_inst.I_IOINTDQDOUT32
io_intdqdout[33] => hphy_inst.I_IOINTDQDOUT33
io_intdqdout[34] => hphy_inst.I_IOINTDQDOUT34
io_intdqdout[35] => hphy_inst.I_IOINTDQDOUT35
io_intdqdout[36] => hphy_inst.I_IOINTDQDOUT36
io_intdqdout[37] => hphy_inst.I_IOINTDQDOUT37
io_intdqdout[38] => hphy_inst.I_IOINTDQDOUT38
io_intdqdout[39] => hphy_inst.I_IOINTDQDOUT39
io_intdqdout[40] => hphy_inst.I_IOINTDQDOUT40
io_intdqdout[41] => hphy_inst.I_IOINTDQDOUT41
io_intdqdout[42] => hphy_inst.I_IOINTDQDOUT42
io_intdqdout[43] => hphy_inst.I_IOINTDQDOUT43
io_intdqdout[44] => hphy_inst.I_IOINTDQDOUT44
io_intdqdout[45] => hphy_inst.I_IOINTDQDOUT45
io_intdqdout[46] => hphy_inst.I_IOINTDQDOUT46
io_intdqdout[47] => hphy_inst.I_IOINTDQDOUT47
io_intdqdout[48] => hphy_inst.I_IOINTDQDOUT48
io_intdqdout[49] => hphy_inst.I_IOINTDQDOUT49
io_intdqdout[50] => hphy_inst.I_IOINTDQDOUT50
io_intdqdout[51] => hphy_inst.I_IOINTDQDOUT51
io_intdqdout[52] => hphy_inst.I_IOINTDQDOUT52
io_intdqdout[53] => hphy_inst.I_IOINTDQDOUT53
io_intdqdout[54] => hphy_inst.I_IOINTDQDOUT54
io_intdqdout[55] => hphy_inst.I_IOINTDQDOUT55
io_intdqdout[56] => hphy_inst.I_IOINTDQDOUT56
io_intdqdout[57] => hphy_inst.I_IOINTDQDOUT57
io_intdqdout[58] => hphy_inst.I_IOINTDQDOUT58
io_intdqdout[59] => hphy_inst.I_IOINTDQDOUT59
io_intdqdout[60] => hphy_inst.I_IOINTDQDOUT60
io_intdqdout[61] => hphy_inst.I_IOINTDQDOUT61
io_intdqdout[62] => hphy_inst.I_IOINTDQDOUT62
io_intdqdout[63] => hphy_inst.I_IOINTDQDOUT63
io_intdqdout[64] => hphy_inst.I_IOINTDQDOUT64
io_intdqdout[65] => hphy_inst.I_IOINTDQDOUT65
io_intdqdout[66] => hphy_inst.I_IOINTDQDOUT66
io_intdqdout[67] => hphy_inst.I_IOINTDQDOUT67
io_intdqdout[68] => hphy_inst.I_IOINTDQDOUT68
io_intdqdout[69] => hphy_inst.I_IOINTDQDOUT69
io_intdqdout[70] => hphy_inst.I_IOINTDQDOUT70
io_intdqdout[71] => hphy_inst.I_IOINTDQDOUT71
io_intdqdout[72] => hphy_inst.I_IOINTDQDOUT72
io_intdqdout[73] => hphy_inst.I_IOINTDQDOUT73
io_intdqdout[74] => hphy_inst.I_IOINTDQDOUT74
io_intdqdout[75] => hphy_inst.I_IOINTDQDOUT75
io_intdqdout[76] => hphy_inst.I_IOINTDQDOUT76
io_intdqdout[77] => hphy_inst.I_IOINTDQDOUT77
io_intdqdout[78] => hphy_inst.I_IOINTDQDOUT78
io_intdqdout[79] => hphy_inst.I_IOINTDQDOUT79
io_intdqdout[80] => hphy_inst.I_IOINTDQDOUT80
io_intdqdout[81] => hphy_inst.I_IOINTDQDOUT81
io_intdqdout[82] => hphy_inst.I_IOINTDQDOUT82
io_intdqdout[83] => hphy_inst.I_IOINTDQDOUT83
io_intdqdout[84] => hphy_inst.I_IOINTDQDOUT84
io_intdqdout[85] => hphy_inst.I_IOINTDQDOUT85
io_intdqdout[86] => hphy_inst.I_IOINTDQDOUT86
io_intdqdout[87] => hphy_inst.I_IOINTDQDOUT87
io_intdqdout[88] => hphy_inst.I_IOINTDQDOUT88
io_intdqdout[89] => hphy_inst.I_IOINTDQDOUT89
io_intdqdout[90] => hphy_inst.I_IOINTDQDOUT90
io_intdqdout[91] => hphy_inst.I_IOINTDQDOUT91
io_intdqdout[92] => hphy_inst.I_IOINTDQDOUT92
io_intdqdout[93] => hphy_inst.I_IOINTDQDOUT93
io_intdqdout[94] => hphy_inst.I_IOINTDQDOUT94
io_intdqdout[95] => hphy_inst.I_IOINTDQDOUT95
io_intdqdout[96] => hphy_inst.I_IOINTDQDOUT96
io_intdqdout[97] => hphy_inst.I_IOINTDQDOUT97
io_intdqdout[98] => hphy_inst.I_IOINTDQDOUT98
io_intdqdout[99] => hphy_inst.I_IOINTDQDOUT99
io_intdqdout[100] => hphy_inst.I_IOINTDQDOUT100
io_intdqdout[101] => hphy_inst.I_IOINTDQDOUT101
io_intdqdout[102] => hphy_inst.I_IOINTDQDOUT102
io_intdqdout[103] => hphy_inst.I_IOINTDQDOUT103
io_intdqdout[104] => hphy_inst.I_IOINTDQDOUT104
io_intdqdout[105] => hphy_inst.I_IOINTDQDOUT105
io_intdqdout[106] => hphy_inst.I_IOINTDQDOUT106
io_intdqdout[107] => hphy_inst.I_IOINTDQDOUT107
io_intdqdout[108] => hphy_inst.I_IOINTDQDOUT108
io_intdqdout[109] => hphy_inst.I_IOINTDQDOUT109
io_intdqdout[110] => hphy_inst.I_IOINTDQDOUT110
io_intdqdout[111] => hphy_inst.I_IOINTDQDOUT111
io_intdqdout[112] => hphy_inst.I_IOINTDQDOUT112
io_intdqdout[113] => hphy_inst.I_IOINTDQDOUT113
io_intdqdout[114] => hphy_inst.I_IOINTDQDOUT114
io_intdqdout[115] => hphy_inst.I_IOINTDQDOUT115
io_intdqdout[116] => hphy_inst.I_IOINTDQDOUT116
io_intdqdout[117] => hphy_inst.I_IOINTDQDOUT117
io_intdqdout[118] => hphy_inst.I_IOINTDQDOUT118
io_intdqdout[119] => hphy_inst.I_IOINTDQDOUT119
io_intdqdout[120] => hphy_inst.I_IOINTDQDOUT120
io_intdqdout[121] => hphy_inst.I_IOINTDQDOUT121
io_intdqdout[122] => hphy_inst.I_IOINTDQDOUT122
io_intdqdout[123] => hphy_inst.I_IOINTDQDOUT123
io_intdqdout[124] => hphy_inst.I_IOINTDQDOUT124
io_intdqdout[125] => hphy_inst.I_IOINTDQDOUT125
io_intdqdout[126] => hphy_inst.I_IOINTDQDOUT126
io_intdqdout[127] => hphy_inst.I_IOINTDQDOUT127
io_intdqdout[128] => hphy_inst.I_IOINTDQDOUT128
io_intdqdout[129] => hphy_inst.I_IOINTDQDOUT129
io_intdqdout[130] => hphy_inst.I_IOINTDQDOUT130
io_intdqdout[131] => hphy_inst.I_IOINTDQDOUT131
io_intdqdout[132] => hphy_inst.I_IOINTDQDOUT132
io_intdqdout[133] => hphy_inst.I_IOINTDQDOUT133
io_intdqdout[134] => hphy_inst.I_IOINTDQDOUT134
io_intdqdout[135] => hphy_inst.I_IOINTDQDOUT135
io_intdqdout[136] => hphy_inst.I_IOINTDQDOUT136
io_intdqdout[137] => hphy_inst.I_IOINTDQDOUT137
io_intdqdout[138] => hphy_inst.I_IOINTDQDOUT138
io_intdqdout[139] => hphy_inst.I_IOINTDQDOUT139
io_intdqdout[140] => hphy_inst.I_IOINTDQDOUT140
io_intdqdout[141] => hphy_inst.I_IOINTDQDOUT141
io_intdqdout[142] => hphy_inst.I_IOINTDQDOUT142
io_intdqdout[143] => hphy_inst.I_IOINTDQDOUT143
io_intdqdout[144] => hphy_inst.I_IOINTDQDOUT144
io_intdqdout[145] => hphy_inst.I_IOINTDQDOUT145
io_intdqdout[146] => hphy_inst.I_IOINTDQDOUT146
io_intdqdout[147] => hphy_inst.I_IOINTDQDOUT147
io_intdqdout[148] => hphy_inst.I_IOINTDQDOUT148
io_intdqdout[149] => hphy_inst.I_IOINTDQDOUT149
io_intdqdout[150] => hphy_inst.I_IOINTDQDOUT150
io_intdqdout[151] => hphy_inst.I_IOINTDQDOUT151
io_intdqdout[152] => hphy_inst.I_IOINTDQDOUT152
io_intdqdout[153] => hphy_inst.I_IOINTDQDOUT153
io_intdqdout[154] => hphy_inst.I_IOINTDQDOUT154
io_intdqdout[155] => hphy_inst.I_IOINTDQDOUT155
io_intdqdout[156] => hphy_inst.I_IOINTDQDOUT156
io_intdqdout[157] => hphy_inst.I_IOINTDQDOUT157
io_intdqdout[158] => hphy_inst.I_IOINTDQDOUT158
io_intdqdout[159] => hphy_inst.I_IOINTDQDOUT159
io_intdqdout[160] => hphy_inst.I_IOINTDQDOUT160
io_intdqdout[161] => hphy_inst.I_IOINTDQDOUT161
io_intdqdout[162] => hphy_inst.I_IOINTDQDOUT162
io_intdqdout[163] => hphy_inst.I_IOINTDQDOUT163
io_intdqdout[164] => hphy_inst.I_IOINTDQDOUT164
io_intdqdout[165] => hphy_inst.I_IOINTDQDOUT165
io_intdqdout[166] => hphy_inst.I_IOINTDQDOUT166
io_intdqdout[167] => hphy_inst.I_IOINTDQDOUT167
io_intdqdout[168] => hphy_inst.I_IOINTDQDOUT168
io_intdqdout[169] => hphy_inst.I_IOINTDQDOUT169
io_intdqdout[170] => hphy_inst.I_IOINTDQDOUT170
io_intdqdout[171] => hphy_inst.I_IOINTDQDOUT171
io_intdqdout[172] => hphy_inst.I_IOINTDQDOUT172
io_intdqdout[173] => hphy_inst.I_IOINTDQDOUT173
io_intdqdout[174] => hphy_inst.I_IOINTDQDOUT174
io_intdqdout[175] => hphy_inst.I_IOINTDQDOUT175
io_intdqdout[176] => hphy_inst.I_IOINTDQDOUT176
io_intdqdout[177] => hphy_inst.I_IOINTDQDOUT177
io_intdqdout[178] => hphy_inst.I_IOINTDQDOUT178
io_intdqdout[179] => hphy_inst.I_IOINTDQDOUT179
io_intdqoe[0] => hphy_inst.I_IOINTDQOE
io_intdqoe[1] => hphy_inst.I_IOINTDQOE1
io_intdqoe[2] => hphy_inst.I_IOINTDQOE2
io_intdqoe[3] => hphy_inst.I_IOINTDQOE3
io_intdqoe[4] => hphy_inst.I_IOINTDQOE4
io_intdqoe[5] => hphy_inst.I_IOINTDQOE5
io_intdqoe[6] => hphy_inst.I_IOINTDQOE6
io_intdqoe[7] => hphy_inst.I_IOINTDQOE7
io_intdqoe[8] => hphy_inst.I_IOINTDQOE8
io_intdqoe[9] => hphy_inst.I_IOINTDQOE9
io_intdqoe[10] => hphy_inst.I_IOINTDQOE10
io_intdqoe[11] => hphy_inst.I_IOINTDQOE11
io_intdqoe[12] => hphy_inst.I_IOINTDQOE12
io_intdqoe[13] => hphy_inst.I_IOINTDQOE13
io_intdqoe[14] => hphy_inst.I_IOINTDQOE14
io_intdqoe[15] => hphy_inst.I_IOINTDQOE15
io_intdqoe[16] => hphy_inst.I_IOINTDQOE16
io_intdqoe[17] => hphy_inst.I_IOINTDQOE17
io_intdqoe[18] => hphy_inst.I_IOINTDQOE18
io_intdqoe[19] => hphy_inst.I_IOINTDQOE19
io_intdqoe[20] => hphy_inst.I_IOINTDQOE20
io_intdqoe[21] => hphy_inst.I_IOINTDQOE21
io_intdqoe[22] => hphy_inst.I_IOINTDQOE22
io_intdqoe[23] => hphy_inst.I_IOINTDQOE23
io_intdqoe[24] => hphy_inst.I_IOINTDQOE24
io_intdqoe[25] => hphy_inst.I_IOINTDQOE25
io_intdqoe[26] => hphy_inst.I_IOINTDQOE26
io_intdqoe[27] => hphy_inst.I_IOINTDQOE27
io_intdqoe[28] => hphy_inst.I_IOINTDQOE28
io_intdqoe[29] => hphy_inst.I_IOINTDQOE29
io_intdqoe[30] => hphy_inst.I_IOINTDQOE30
io_intdqoe[31] => hphy_inst.I_IOINTDQOE31
io_intdqoe[32] => hphy_inst.I_IOINTDQOE32
io_intdqoe[33] => hphy_inst.I_IOINTDQOE33
io_intdqoe[34] => hphy_inst.I_IOINTDQOE34
io_intdqoe[35] => hphy_inst.I_IOINTDQOE35
io_intdqoe[36] => hphy_inst.I_IOINTDQOE36
io_intdqoe[37] => hphy_inst.I_IOINTDQOE37
io_intdqoe[38] => hphy_inst.I_IOINTDQOE38
io_intdqoe[39] => hphy_inst.I_IOINTDQOE39
io_intdqoe[40] => hphy_inst.I_IOINTDQOE40
io_intdqoe[41] => hphy_inst.I_IOINTDQOE41
io_intdqoe[42] => hphy_inst.I_IOINTDQOE42
io_intdqoe[43] => hphy_inst.I_IOINTDQOE43
io_intdqoe[44] => hphy_inst.I_IOINTDQOE44
io_intdqoe[45] => hphy_inst.I_IOINTDQOE45
io_intdqoe[46] => hphy_inst.I_IOINTDQOE46
io_intdqoe[47] => hphy_inst.I_IOINTDQOE47
io_intdqoe[48] => hphy_inst.I_IOINTDQOE48
io_intdqoe[49] => hphy_inst.I_IOINTDQOE49
io_intdqoe[50] => hphy_inst.I_IOINTDQOE50
io_intdqoe[51] => hphy_inst.I_IOINTDQOE51
io_intdqoe[52] => hphy_inst.I_IOINTDQOE52
io_intdqoe[53] => hphy_inst.I_IOINTDQOE53
io_intdqoe[54] => hphy_inst.I_IOINTDQOE54
io_intdqoe[55] => hphy_inst.I_IOINTDQOE55
io_intdqoe[56] => hphy_inst.I_IOINTDQOE56
io_intdqoe[57] => hphy_inst.I_IOINTDQOE57
io_intdqoe[58] => hphy_inst.I_IOINTDQOE58
io_intdqoe[59] => hphy_inst.I_IOINTDQOE59
io_intdqoe[60] => hphy_inst.I_IOINTDQOE60
io_intdqoe[61] => hphy_inst.I_IOINTDQOE61
io_intdqoe[62] => hphy_inst.I_IOINTDQOE62
io_intdqoe[63] => hphy_inst.I_IOINTDQOE63
io_intdqoe[64] => hphy_inst.I_IOINTDQOE64
io_intdqoe[65] => hphy_inst.I_IOINTDQOE65
io_intdqoe[66] => hphy_inst.I_IOINTDQOE66
io_intdqoe[67] => hphy_inst.I_IOINTDQOE67
io_intdqoe[68] => hphy_inst.I_IOINTDQOE68
io_intdqoe[69] => hphy_inst.I_IOINTDQOE69
io_intdqoe[70] => hphy_inst.I_IOINTDQOE70
io_intdqoe[71] => hphy_inst.I_IOINTDQOE71
io_intdqoe[72] => hphy_inst.I_IOINTDQOE72
io_intdqoe[73] => hphy_inst.I_IOINTDQOE73
io_intdqoe[74] => hphy_inst.I_IOINTDQOE74
io_intdqoe[75] => hphy_inst.I_IOINTDQOE75
io_intdqoe[76] => hphy_inst.I_IOINTDQOE76
io_intdqoe[77] => hphy_inst.I_IOINTDQOE77
io_intdqoe[78] => hphy_inst.I_IOINTDQOE78
io_intdqoe[79] => hphy_inst.I_IOINTDQOE79
io_intdqoe[80] => hphy_inst.I_IOINTDQOE80
io_intdqoe[81] => hphy_inst.I_IOINTDQOE81
io_intdqoe[82] => hphy_inst.I_IOINTDQOE82
io_intdqoe[83] => hphy_inst.I_IOINTDQOE83
io_intdqoe[84] => hphy_inst.I_IOINTDQOE84
io_intdqoe[85] => hphy_inst.I_IOINTDQOE85
io_intdqoe[86] => hphy_inst.I_IOINTDQOE86
io_intdqoe[87] => hphy_inst.I_IOINTDQOE87
io_intdqoe[88] => hphy_inst.I_IOINTDQOE88
io_intdqoe[89] => hphy_inst.I_IOINTDQOE89
io_intdqsbdout[0] => hphy_inst.I_IOINTDQSBDOUT
io_intdqsbdout[1] => hphy_inst.I_IOINTDQSBDOUT1
io_intdqsbdout[2] => hphy_inst.I_IOINTDQSBDOUT2
io_intdqsbdout[3] => hphy_inst.I_IOINTDQSBDOUT3
io_intdqsbdout[4] => hphy_inst.I_IOINTDQSBDOUT4
io_intdqsbdout[5] => hphy_inst.I_IOINTDQSBDOUT5
io_intdqsbdout[6] => hphy_inst.I_IOINTDQSBDOUT6
io_intdqsbdout[7] => hphy_inst.I_IOINTDQSBDOUT7
io_intdqsbdout[8] => hphy_inst.I_IOINTDQSBDOUT8
io_intdqsbdout[9] => hphy_inst.I_IOINTDQSBDOUT9
io_intdqsbdout[10] => hphy_inst.I_IOINTDQSBDOUT10
io_intdqsbdout[11] => hphy_inst.I_IOINTDQSBDOUT11
io_intdqsbdout[12] => hphy_inst.I_IOINTDQSBDOUT12
io_intdqsbdout[13] => hphy_inst.I_IOINTDQSBDOUT13
io_intdqsbdout[14] => hphy_inst.I_IOINTDQSBDOUT14
io_intdqsbdout[15] => hphy_inst.I_IOINTDQSBDOUT15
io_intdqsbdout[16] => hphy_inst.I_IOINTDQSBDOUT16
io_intdqsbdout[17] => hphy_inst.I_IOINTDQSBDOUT17
io_intdqsbdout[18] => hphy_inst.I_IOINTDQSBDOUT18
io_intdqsbdout[19] => hphy_inst.I_IOINTDQSBDOUT19
io_intdqsboe[0] => hphy_inst.I_IOINTDQSBOE
io_intdqsboe[1] => hphy_inst.I_IOINTDQSBOE1
io_intdqsboe[2] => hphy_inst.I_IOINTDQSBOE2
io_intdqsboe[3] => hphy_inst.I_IOINTDQSBOE3
io_intdqsboe[4] => hphy_inst.I_IOINTDQSBOE4
io_intdqsboe[5] => hphy_inst.I_IOINTDQSBOE5
io_intdqsboe[6] => hphy_inst.I_IOINTDQSBOE6
io_intdqsboe[7] => hphy_inst.I_IOINTDQSBOE7
io_intdqsboe[8] => hphy_inst.I_IOINTDQSBOE8
io_intdqsboe[9] => hphy_inst.I_IOINTDQSBOE9
io_intdqsdout[0] => hphy_inst.I_IOINTDQSDOUT
io_intdqsdout[1] => hphy_inst.I_IOINTDQSDOUT1
io_intdqsdout[2] => hphy_inst.I_IOINTDQSDOUT2
io_intdqsdout[3] => hphy_inst.I_IOINTDQSDOUT3
io_intdqsdout[4] => hphy_inst.I_IOINTDQSDOUT4
io_intdqsdout[5] => hphy_inst.I_IOINTDQSDOUT5
io_intdqsdout[6] => hphy_inst.I_IOINTDQSDOUT6
io_intdqsdout[7] => hphy_inst.I_IOINTDQSDOUT7
io_intdqsdout[8] => hphy_inst.I_IOINTDQSDOUT8
io_intdqsdout[9] => hphy_inst.I_IOINTDQSDOUT9
io_intdqsdout[10] => hphy_inst.I_IOINTDQSDOUT10
io_intdqsdout[11] => hphy_inst.I_IOINTDQSDOUT11
io_intdqsdout[12] => hphy_inst.I_IOINTDQSDOUT12
io_intdqsdout[13] => hphy_inst.I_IOINTDQSDOUT13
io_intdqsdout[14] => hphy_inst.I_IOINTDQSDOUT14
io_intdqsdout[15] => hphy_inst.I_IOINTDQSDOUT15
io_intdqsdout[16] => hphy_inst.I_IOINTDQSDOUT16
io_intdqsdout[17] => hphy_inst.I_IOINTDQSDOUT17
io_intdqsdout[18] => hphy_inst.I_IOINTDQSDOUT18
io_intdqsdout[19] => hphy_inst.I_IOINTDQSDOUT19
io_intdqslogicdqsena[0] => hphy_inst.I_IOINTDQSLOGICDQSENA
io_intdqslogicdqsena[1] => hphy_inst.I_IOINTDQSLOGICDQSENA1
io_intdqslogicdqsena[2] => hphy_inst.I_IOINTDQSLOGICDQSENA2
io_intdqslogicdqsena[3] => hphy_inst.I_IOINTDQSLOGICDQSENA3
io_intdqslogicdqsena[4] => hphy_inst.I_IOINTDQSLOGICDQSENA4
io_intdqslogicdqsena[5] => hphy_inst.I_IOINTDQSLOGICDQSENA5
io_intdqslogicdqsena[6] => hphy_inst.I_IOINTDQSLOGICDQSENA6
io_intdqslogicdqsena[7] => hphy_inst.I_IOINTDQSLOGICDQSENA7
io_intdqslogicdqsena[8] => hphy_inst.I_IOINTDQSLOGICDQSENA8
io_intdqslogicdqsena[9] => hphy_inst.I_IOINTDQSLOGICDQSENA9
io_intdqslogicfiforeset[0] => hphy_inst.I_IOINTDQSLOGICFIFORESET
io_intdqslogicfiforeset[1] => hphy_inst.I_IOINTDQSLOGICFIFORESET1
io_intdqslogicfiforeset[2] => hphy_inst.I_IOINTDQSLOGICFIFORESET2
io_intdqslogicfiforeset[3] => hphy_inst.I_IOINTDQSLOGICFIFORESET3
io_intdqslogicfiforeset[4] => hphy_inst.I_IOINTDQSLOGICFIFORESET4
io_intdqslogicincrdataen[0] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN
io_intdqslogicincrdataen[1] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN1
io_intdqslogicincrdataen[2] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN2
io_intdqslogicincrdataen[3] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN3
io_intdqslogicincrdataen[4] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN4
io_intdqslogicincrdataen[5] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN5
io_intdqslogicincrdataen[6] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN6
io_intdqslogicincrdataen[7] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN7
io_intdqslogicincrdataen[8] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN8
io_intdqslogicincrdataen[9] => hphy_inst.I_IOINTDQSLOGICINCRDATAEN9
io_intdqslogicincwrptr[0] => hphy_inst.I_IOINTDQSLOGICINCWRPTR
io_intdqslogicincwrptr[1] => hphy_inst.I_IOINTDQSLOGICINCWRPTR1
io_intdqslogicincwrptr[2] => hphy_inst.I_IOINTDQSLOGICINCWRPTR2
io_intdqslogicincwrptr[3] => hphy_inst.I_IOINTDQSLOGICINCWRPTR3
io_intdqslogicincwrptr[4] => hphy_inst.I_IOINTDQSLOGICINCWRPTR4
io_intdqslogicincwrptr[5] => hphy_inst.I_IOINTDQSLOGICINCWRPTR5
io_intdqslogicincwrptr[6] => hphy_inst.I_IOINTDQSLOGICINCWRPTR6
io_intdqslogicincwrptr[7] => hphy_inst.I_IOINTDQSLOGICINCWRPTR7
io_intdqslogicincwrptr[8] => hphy_inst.I_IOINTDQSLOGICINCWRPTR8
io_intdqslogicincwrptr[9] => hphy_inst.I_IOINTDQSLOGICINCWRPTR9
io_intdqslogicoct[0] => hphy_inst.I_IOINTDQSLOGICOCT
io_intdqslogicoct[1] => hphy_inst.I_IOINTDQSLOGICOCT1
io_intdqslogicoct[2] => hphy_inst.I_IOINTDQSLOGICOCT2
io_intdqslogicoct[3] => hphy_inst.I_IOINTDQSLOGICOCT3
io_intdqslogicoct[4] => hphy_inst.I_IOINTDQSLOGICOCT4
io_intdqslogicoct[5] => hphy_inst.I_IOINTDQSLOGICOCT5
io_intdqslogicoct[6] => hphy_inst.I_IOINTDQSLOGICOCT6
io_intdqslogicoct[7] => hphy_inst.I_IOINTDQSLOGICOCT7
io_intdqslogicoct[8] => hphy_inst.I_IOINTDQSLOGICOCT8
io_intdqslogicoct[9] => hphy_inst.I_IOINTDQSLOGICOCT9
io_intdqslogicrdatavalid[0] <= hphy_inst.O_IOINTDQSLOGICRDATAVALID
io_intdqslogicrdatavalid[1] <= hphy_inst.O_IOINTDQSLOGICRDATAVALID1
io_intdqslogicrdatavalid[2] <= hphy_inst.O_IOINTDQSLOGICRDATAVALID2
io_intdqslogicrdatavalid[3] <= hphy_inst.O_IOINTDQSLOGICRDATAVALID3
io_intdqslogicrdatavalid[4] <= hphy_inst.O_IOINTDQSLOGICRDATAVALID4
io_intdqslogicreadlatency[0] => hphy_inst.I_IOINTDQSLOGICREADLATENCY
io_intdqslogicreadlatency[1] => hphy_inst.I_IOINTDQSLOGICREADLATENCY1
io_intdqslogicreadlatency[2] => hphy_inst.I_IOINTDQSLOGICREADLATENCY2
io_intdqslogicreadlatency[3] => hphy_inst.I_IOINTDQSLOGICREADLATENCY3
io_intdqslogicreadlatency[4] => hphy_inst.I_IOINTDQSLOGICREADLATENCY4
io_intdqslogicreadlatency[5] => hphy_inst.I_IOINTDQSLOGICREADLATENCY5
io_intdqslogicreadlatency[6] => hphy_inst.I_IOINTDQSLOGICREADLATENCY6
io_intdqslogicreadlatency[7] => hphy_inst.I_IOINTDQSLOGICREADLATENCY7
io_intdqslogicreadlatency[8] => hphy_inst.I_IOINTDQSLOGICREADLATENCY8
io_intdqslogicreadlatency[9] => hphy_inst.I_IOINTDQSLOGICREADLATENCY9
io_intdqslogicreadlatency[10] => hphy_inst.I_IOINTDQSLOGICREADLATENCY10
io_intdqslogicreadlatency[11] => hphy_inst.I_IOINTDQSLOGICREADLATENCY11
io_intdqslogicreadlatency[12] => hphy_inst.I_IOINTDQSLOGICREADLATENCY12
io_intdqslogicreadlatency[13] => hphy_inst.I_IOINTDQSLOGICREADLATENCY13
io_intdqslogicreadlatency[14] => hphy_inst.I_IOINTDQSLOGICREADLATENCY14
io_intdqslogicreadlatency[15] => hphy_inst.I_IOINTDQSLOGICREADLATENCY15
io_intdqslogicreadlatency[16] => hphy_inst.I_IOINTDQSLOGICREADLATENCY16
io_intdqslogicreadlatency[17] => hphy_inst.I_IOINTDQSLOGICREADLATENCY17
io_intdqslogicreadlatency[18] => hphy_inst.I_IOINTDQSLOGICREADLATENCY18
io_intdqslogicreadlatency[19] => hphy_inst.I_IOINTDQSLOGICREADLATENCY19
io_intdqslogicreadlatency[20] => hphy_inst.I_IOINTDQSLOGICREADLATENCY20
io_intdqslogicreadlatency[21] => hphy_inst.I_IOINTDQSLOGICREADLATENCY21
io_intdqslogicreadlatency[22] => hphy_inst.I_IOINTDQSLOGICREADLATENCY22
io_intdqslogicreadlatency[23] => hphy_inst.I_IOINTDQSLOGICREADLATENCY23
io_intdqslogicreadlatency[24] => hphy_inst.I_IOINTDQSLOGICREADLATENCY24
io_intdqsoe[0] => hphy_inst.I_IOINTDQSOE
io_intdqsoe[1] => hphy_inst.I_IOINTDQSOE1
io_intdqsoe[2] => hphy_inst.I_IOINTDQSOE2
io_intdqsoe[3] => hphy_inst.I_IOINTDQSOE3
io_intdqsoe[4] => hphy_inst.I_IOINTDQSOE4
io_intdqsoe[5] => hphy_inst.I_IOINTDQSOE5
io_intdqsoe[6] => hphy_inst.I_IOINTDQSOE6
io_intdqsoe[7] => hphy_inst.I_IOINTDQSOE7
io_intdqsoe[8] => hphy_inst.I_IOINTDQSOE8
io_intdqsoe[9] => hphy_inst.I_IOINTDQSOE9
io_intodtdout[0] => hphy_inst.I_IOINTODTDOUT
io_intodtdout[1] => hphy_inst.I_IOINTODTDOUT1
io_intodtdout[2] => hphy_inst.I_IOINTODTDOUT2
io_intodtdout[3] => hphy_inst.I_IOINTODTDOUT3
io_intodtdout[4] => hphy_inst.I_IOINTODTDOUT4
io_intodtdout[5] => hphy_inst.I_IOINTODTDOUT5
io_intodtdout[6] => hphy_inst.I_IOINTODTDOUT6
io_intodtdout[7] => hphy_inst.I_IOINTODTDOUT7
io_intrasndout[0] => hphy_inst.I_IOINTRASNDOUT
io_intrasndout[1] => hphy_inst.I_IOINTRASNDOUT1
io_intrasndout[2] => hphy_inst.I_IOINTRASNDOUT2
io_intrasndout[3] => hphy_inst.I_IOINTRASNDOUT3
io_intresetndout[0] => hphy_inst.I_IOINTRESETNDOUT
io_intresetndout[1] => hphy_inst.I_IOINTRESETNDOUT1
io_intresetndout[2] => hphy_inst.I_IOINTRESETNDOUT2
io_intresetndout[3] => hphy_inst.I_IOINTRESETNDOUT3
io_intwendout[0] => hphy_inst.I_IOINTWENDOUT
io_intwendout[1] => hphy_inst.I_IOINTWENDOUT1
io_intwendout[2] => hphy_inst.I_IOINTWENDOUT2
io_intwendout[3] => hphy_inst.I_IOINTWENDOUT3
io_intafirlat[0] <= hphy_inst.O_IOINTAFIRLAT
io_intafirlat[1] <= hphy_inst.O_IOINTAFIRLAT1
io_intafirlat[2] <= hphy_inst.O_IOINTAFIRLAT2
io_intafirlat[3] <= hphy_inst.O_IOINTAFIRLAT3
io_intafirlat[4] <= hphy_inst.O_IOINTAFIRLAT4
io_intafiwlat[0] <= hphy_inst.O_IOINTAFIWLAT
io_intafiwlat[1] <= hphy_inst.O_IOINTAFIWLAT1
io_intafiwlat[2] <= hphy_inst.O_IOINTAFIWLAT2
io_intafiwlat[3] <= hphy_inst.O_IOINTAFIWLAT3
io_intaficalfail <= hphy_inst.O_IOINTAFICALFAIL
io_intaficalsuccess <= hphy_inst.O_IOINTAFICALSUCCESS
mem_a[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[1] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[2] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[3] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[4] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[5] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[6] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[7] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[8] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[9] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[10] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[11] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_a[12] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_address
mem_ba[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_bank
mem_ba[1] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_bank
mem_ba[2] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_bank
mem_cs_n[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_cs_n
mem_cke[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_cke
mem_odt[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_odt
mem_we_n[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_we_n
mem_ras_n[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_ras_n
mem_cas_n[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_cas_n
mem_reset_n <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_reset_n
mem_dq[0] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[1] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[2] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[3] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[4] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[5] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[6] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dq[7] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dq
mem_dm[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_dm
mem_ck[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_ck
mem_ck_n[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.phy_mem_ck_n
mem_dqs[0] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.mem_dqs
mem_dqs_n[0] <> hps_sdram_p0_acv_hard_io_pads:uio_pads.mem_dqs_n
reset_n_scc_clk <= global_reset_n.DB_MAX_OUTPUT_PORT_TYPE
reset_n_avl_clk <= global_reset_n.DB_MAX_OUTPUT_PORT_TYPE
scc_data => scc_data.IN1
scc_dqs_ena[0] => scc_dqs_ena[0].IN1
scc_dqs_io_ena[0] => scc_dqs_io_ena[0].IN1
scc_dq_ena[0] => scc_dq_ena[0].IN1
scc_dq_ena[1] => scc_dq_ena[1].IN1
scc_dq_ena[2] => scc_dq_ena[2].IN1
scc_dq_ena[3] => scc_dq_ena[3].IN1
scc_dq_ena[4] => scc_dq_ena[4].IN1
scc_dq_ena[5] => scc_dq_ena[5].IN1
scc_dq_ena[6] => scc_dq_ena[6].IN1
scc_dq_ena[7] => scc_dq_ena[7].IN1
scc_dm_ena[0] => scc_dm_ena[0].IN1
scc_upd[0] => scc_upd[0].IN1
capture_strobe_tracking[0] <= hps_sdram_p0_acv_hard_io_pads:uio_pads.capture_strobe_tracking
phy_clk <= seq_clk.DB_MAX_OUTPUT_PORT_TYPE
ctl_clk <= hps_sdram_p0_acv_ldc:memphy_ldc.afi_clk
phy_reset_n <= phy_reset_n.DB_MAX_OUTPUT_PORT_TYPE
pll_afi_clk => pll_afi_clk.IN1
pll_afi_half_clk => ~NO_FANOUT~
pll_addr_cmd_clk => ~NO_FANOUT~
pll_mem_clk => pll_mem_clk.IN1
pll_mem_phy_clk => pll_mem_phy_clk.IN2
pll_afi_phy_clk => pll_afi_phy_clk.IN1
pll_avl_phy_clk => pll_avl_phy_clk.IN2
pll_write_clk => pll_write_clk.IN2
pll_write_clk_pre_phy_clk => dll_clk.DATAIN
pll_dqs_ena_clk => pll_dqs_ena_clk.IN1
seq_clk => phy_clk.DATAIN
pll_avl_clk => pll_avl_clk.IN1
pll_config_clk => pll_config_clk.IN1
dll_clk <= pll_write_clk_pre_phy_clk.DB_MAX_OUTPUT_PORT_TYPE
dll_pll_locked <= pll_locked.DB_MAX_OUTPUT_PORT_TYPE
dll_phy_delayctrl[0] => dll_phy_delayctrl[0].IN2
dll_phy_delayctrl[1] => dll_phy_delayctrl[1].IN2
dll_phy_delayctrl[2] => dll_phy_delayctrl[2].IN2
dll_phy_delayctrl[3] => dll_phy_delayctrl[3].IN2
dll_phy_delayctrl[4] => dll_phy_delayctrl[4].IN2
dll_phy_delayctrl[5] => dll_phy_delayctrl[5].IN2
dll_phy_delayctrl[6] => dll_phy_delayctrl[6].IN2


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_ldc:memphy_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads
reset_n_addr_cmd_clk => reset_n_addr_cmd_clk.IN1
reset_n_afi_clk => reset_n_core_clk.IN2
oct_ctl_rs_value[0] => oct_ctl_rs_value[0].IN1
oct_ctl_rs_value[1] => oct_ctl_rs_value[1].IN1
oct_ctl_rs_value[2] => oct_ctl_rs_value[2].IN1
oct_ctl_rs_value[3] => oct_ctl_rs_value[3].IN1
oct_ctl_rs_value[4] => oct_ctl_rs_value[4].IN1
oct_ctl_rs_value[5] => oct_ctl_rs_value[5].IN1
oct_ctl_rs_value[6] => oct_ctl_rs_value[6].IN1
oct_ctl_rs_value[7] => oct_ctl_rs_value[7].IN1
oct_ctl_rs_value[8] => oct_ctl_rs_value[8].IN1
oct_ctl_rs_value[9] => oct_ctl_rs_value[9].IN1
oct_ctl_rs_value[10] => oct_ctl_rs_value[10].IN1
oct_ctl_rs_value[11] => oct_ctl_rs_value[11].IN1
oct_ctl_rs_value[12] => oct_ctl_rs_value[12].IN1
oct_ctl_rs_value[13] => oct_ctl_rs_value[13].IN1
oct_ctl_rs_value[14] => oct_ctl_rs_value[14].IN1
oct_ctl_rs_value[15] => oct_ctl_rs_value[15].IN1
oct_ctl_rt_value[0] => oct_ctl_rt_value[0].IN1
oct_ctl_rt_value[1] => oct_ctl_rt_value[1].IN1
oct_ctl_rt_value[2] => oct_ctl_rt_value[2].IN1
oct_ctl_rt_value[3] => oct_ctl_rt_value[3].IN1
oct_ctl_rt_value[4] => oct_ctl_rt_value[4].IN1
oct_ctl_rt_value[5] => oct_ctl_rt_value[5].IN1
oct_ctl_rt_value[6] => oct_ctl_rt_value[6].IN1
oct_ctl_rt_value[7] => oct_ctl_rt_value[7].IN1
oct_ctl_rt_value[8] => oct_ctl_rt_value[8].IN1
oct_ctl_rt_value[9] => oct_ctl_rt_value[9].IN1
oct_ctl_rt_value[10] => oct_ctl_rt_value[10].IN1
oct_ctl_rt_value[11] => oct_ctl_rt_value[11].IN1
oct_ctl_rt_value[12] => oct_ctl_rt_value[12].IN1
oct_ctl_rt_value[13] => oct_ctl_rt_value[13].IN1
oct_ctl_rt_value[14] => oct_ctl_rt_value[14].IN1
oct_ctl_rt_value[15] => oct_ctl_rt_value[15].IN1
phy_ddio_address[0] => phy_ddio_address[0].IN1
phy_ddio_address[1] => phy_ddio_address[1].IN1
phy_ddio_address[2] => phy_ddio_address[2].IN1
phy_ddio_address[3] => phy_ddio_address[3].IN1
phy_ddio_address[4] => phy_ddio_address[4].IN1
phy_ddio_address[5] => phy_ddio_address[5].IN1
phy_ddio_address[6] => phy_ddio_address[6].IN1
phy_ddio_address[7] => phy_ddio_address[7].IN1
phy_ddio_address[8] => phy_ddio_address[8].IN1
phy_ddio_address[9] => phy_ddio_address[9].IN1
phy_ddio_address[10] => phy_ddio_address[10].IN1
phy_ddio_address[11] => phy_ddio_address[11].IN1
phy_ddio_address[12] => phy_ddio_address[12].IN1
phy_ddio_address[13] => phy_ddio_address[13].IN1
phy_ddio_address[14] => phy_ddio_address[14].IN1
phy_ddio_address[15] => phy_ddio_address[15].IN1
phy_ddio_address[16] => phy_ddio_address[16].IN1
phy_ddio_address[17] => phy_ddio_address[17].IN1
phy_ddio_address[18] => phy_ddio_address[18].IN1
phy_ddio_address[19] => phy_ddio_address[19].IN1
phy_ddio_address[20] => phy_ddio_address[20].IN1
phy_ddio_address[21] => phy_ddio_address[21].IN1
phy_ddio_address[22] => phy_ddio_address[22].IN1
phy_ddio_address[23] => phy_ddio_address[23].IN1
phy_ddio_address[24] => phy_ddio_address[24].IN1
phy_ddio_address[25] => phy_ddio_address[25].IN1
phy_ddio_address[26] => phy_ddio_address[26].IN1
phy_ddio_address[27] => phy_ddio_address[27].IN1
phy_ddio_address[28] => phy_ddio_address[28].IN1
phy_ddio_address[29] => phy_ddio_address[29].IN1
phy_ddio_address[30] => phy_ddio_address[30].IN1
phy_ddio_address[31] => phy_ddio_address[31].IN1
phy_ddio_address[32] => phy_ddio_address[32].IN1
phy_ddio_address[33] => phy_ddio_address[33].IN1
phy_ddio_address[34] => phy_ddio_address[34].IN1
phy_ddio_address[35] => phy_ddio_address[35].IN1
phy_ddio_address[36] => phy_ddio_address[36].IN1
phy_ddio_address[37] => phy_ddio_address[37].IN1
phy_ddio_address[38] => phy_ddio_address[38].IN1
phy_ddio_address[39] => phy_ddio_address[39].IN1
phy_ddio_address[40] => phy_ddio_address[40].IN1
phy_ddio_address[41] => phy_ddio_address[41].IN1
phy_ddio_address[42] => phy_ddio_address[42].IN1
phy_ddio_address[43] => phy_ddio_address[43].IN1
phy_ddio_address[44] => phy_ddio_address[44].IN1
phy_ddio_address[45] => phy_ddio_address[45].IN1
phy_ddio_address[46] => phy_ddio_address[46].IN1
phy_ddio_address[47] => phy_ddio_address[47].IN1
phy_ddio_address[48] => phy_ddio_address[48].IN1
phy_ddio_address[49] => phy_ddio_address[49].IN1
phy_ddio_address[50] => phy_ddio_address[50].IN1
phy_ddio_address[51] => phy_ddio_address[51].IN1
phy_ddio_address[52] => phy_ddio_address[52].IN1
phy_ddio_address[53] => phy_ddio_address[53].IN1
phy_ddio_address[54] => phy_ddio_address[54].IN1
phy_ddio_address[55] => phy_ddio_address[55].IN1
phy_ddio_address[56] => phy_ddio_address[56].IN1
phy_ddio_address[57] => phy_ddio_address[57].IN1
phy_ddio_address[58] => phy_ddio_address[58].IN1
phy_ddio_address[59] => phy_ddio_address[59].IN1
phy_ddio_address[60] => phy_ddio_address[60].IN1
phy_ddio_address[61] => phy_ddio_address[61].IN1
phy_ddio_address[62] => phy_ddio_address[62].IN1
phy_ddio_address[63] => phy_ddio_address[63].IN1
phy_ddio_bank[0] => phy_ddio_bank[0].IN1
phy_ddio_bank[1] => phy_ddio_bank[1].IN1
phy_ddio_bank[2] => phy_ddio_bank[2].IN1
phy_ddio_bank[3] => phy_ddio_bank[3].IN1
phy_ddio_bank[4] => phy_ddio_bank[4].IN1
phy_ddio_bank[5] => phy_ddio_bank[5].IN1
phy_ddio_bank[6] => phy_ddio_bank[6].IN1
phy_ddio_bank[7] => phy_ddio_bank[7].IN1
phy_ddio_bank[8] => phy_ddio_bank[8].IN1
phy_ddio_bank[9] => phy_ddio_bank[9].IN1
phy_ddio_bank[10] => phy_ddio_bank[10].IN1
phy_ddio_bank[11] => phy_ddio_bank[11].IN1
phy_ddio_cs_n[0] => phy_ddio_cs_n[0].IN1
phy_ddio_cs_n[1] => phy_ddio_cs_n[1].IN1
phy_ddio_cs_n[2] => phy_ddio_cs_n[2].IN1
phy_ddio_cs_n[3] => phy_ddio_cs_n[3].IN1
phy_ddio_cs_n[4] => phy_ddio_cs_n[4].IN1
phy_ddio_cs_n[5] => phy_ddio_cs_n[5].IN1
phy_ddio_cs_n[6] => phy_ddio_cs_n[6].IN1
phy_ddio_cs_n[7] => phy_ddio_cs_n[7].IN1
phy_ddio_cke[0] => phy_ddio_cke[0].IN1
phy_ddio_cke[1] => phy_ddio_cke[1].IN1
phy_ddio_cke[2] => phy_ddio_cke[2].IN1
phy_ddio_cke[3] => phy_ddio_cke[3].IN1
phy_ddio_cke[4] => phy_ddio_cke[4].IN1
phy_ddio_cke[5] => phy_ddio_cke[5].IN1
phy_ddio_cke[6] => phy_ddio_cke[6].IN1
phy_ddio_cke[7] => phy_ddio_cke[7].IN1
phy_ddio_odt[0] => phy_ddio_odt[0].IN1
phy_ddio_odt[1] => phy_ddio_odt[1].IN1
phy_ddio_odt[2] => phy_ddio_odt[2].IN1
phy_ddio_odt[3] => phy_ddio_odt[3].IN1
phy_ddio_odt[4] => phy_ddio_odt[4].IN1
phy_ddio_odt[5] => phy_ddio_odt[5].IN1
phy_ddio_odt[6] => phy_ddio_odt[6].IN1
phy_ddio_odt[7] => phy_ddio_odt[7].IN1
phy_ddio_we_n[0] => phy_ddio_we_n[0].IN1
phy_ddio_we_n[1] => phy_ddio_we_n[1].IN1
phy_ddio_we_n[2] => phy_ddio_we_n[2].IN1
phy_ddio_we_n[3] => phy_ddio_we_n[3].IN1
phy_ddio_ras_n[0] => phy_ddio_ras_n[0].IN1
phy_ddio_ras_n[1] => phy_ddio_ras_n[1].IN1
phy_ddio_ras_n[2] => phy_ddio_ras_n[2].IN1
phy_ddio_ras_n[3] => phy_ddio_ras_n[3].IN1
phy_ddio_cas_n[0] => phy_ddio_cas_n[0].IN1
phy_ddio_cas_n[1] => phy_ddio_cas_n[1].IN1
phy_ddio_cas_n[2] => phy_ddio_cas_n[2].IN1
phy_ddio_cas_n[3] => phy_ddio_cas_n[3].IN1
phy_ddio_ck[0] => phy_ddio_ck[0].IN1
phy_ddio_ck[1] => phy_ddio_ck[1].IN1
phy_ddio_ck[2] => phy_ddio_ck[2].IN1
phy_ddio_ck[3] => phy_ddio_ck[3].IN1
phy_ddio_reset_n[0] => phy_ddio_reset_n[0].IN1
phy_ddio_reset_n[1] => phy_ddio_reset_n[1].IN1
phy_ddio_reset_n[2] => phy_ddio_reset_n[2].IN1
phy_ddio_reset_n[3] => phy_ddio_reset_n[3].IN1
phy_mem_address[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[1] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[2] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[3] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[4] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[5] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[6] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[7] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[8] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[9] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[10] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[11] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_address[12] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_address
phy_mem_bank[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_bank
phy_mem_bank[1] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_bank
phy_mem_bank[2] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_bank
phy_mem_cs_n[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_cs_n
phy_mem_cke[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_cke
phy_mem_odt[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_odt
phy_mem_we_n[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_we_n
phy_mem_ras_n[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_ras_n
phy_mem_cas_n[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_cas_n
phy_mem_reset_n <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_reset_n
pll_afi_clk => core_clk.IN1
pll_afi_phy_clk => pll_afi_phy_clk.IN1
pll_avl_phy_clk => pll_avl_phy_clk.IN2
pll_avl_clk => hr_clk.IN2
avl_clk => ~NO_FANOUT~
pll_mem_clk => ~NO_FANOUT~
pll_mem_phy_clk => pll_mem_phy_clk.IN2
pll_write_clk => pll_write_clk.IN2
pll_dqs_ena_clk => ~NO_FANOUT~
pll_addr_cmd_clk => ~NO_FANOUT~
phy_mem_dq[0] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[1] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[2] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[3] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[4] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[5] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[6] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dq[7] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_write_data_io
phy_mem_dm[0] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.extra_write_data_out
phy_mem_ck[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_ck
phy_mem_ck_n[0] <= hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads.phy_mem_ck_n
mem_dqs[0] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.strobe_io
mem_dqs_n[0] <> hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.strobe_n_io
dll_phy_delayctrl[0] => dll_phy_delayctrl[0].IN2
dll_phy_delayctrl[1] => dll_phy_delayctrl[1].IN2
dll_phy_delayctrl[2] => dll_phy_delayctrl[2].IN2
dll_phy_delayctrl[3] => dll_phy_delayctrl[3].IN2
dll_phy_delayctrl[4] => dll_phy_delayctrl[4].IN2
dll_phy_delayctrl[5] => dll_phy_delayctrl[5].IN2
dll_phy_delayctrl[6] => dll_phy_delayctrl[6].IN2
scc_clk => scc_clk.IN1
scc_data => scc_data.IN1
scc_dqs_ena[0] => scc_dqs_ena[0].IN1
scc_dqs_io_ena[0] => scc_dqs_io_ena[0].IN1
scc_dq_ena[0] => scc_dq_ena[0].IN1
scc_dq_ena[1] => scc_dq_ena[1].IN1
scc_dq_ena[2] => scc_dq_ena[2].IN1
scc_dq_ena[3] => scc_dq_ena[3].IN1
scc_dq_ena[4] => scc_dq_ena[4].IN1
scc_dq_ena[5] => scc_dq_ena[5].IN1
scc_dq_ena[6] => scc_dq_ena[6].IN1
scc_dq_ena[7] => scc_dq_ena[7].IN1
scc_dm_ena[0] => scc_dm_ena[0].IN1
scc_upd => scc_upd.IN1
seq_read_latency_counter[0] => ~NO_FANOUT~
seq_read_latency_counter[1] => ~NO_FANOUT~
seq_read_latency_counter[2] => ~NO_FANOUT~
seq_read_latency_counter[3] => ~NO_FANOUT~
seq_read_latency_counter[4] => ~NO_FANOUT~
seq_read_increment_vfifo_fr[0] => ~NO_FANOUT~
seq_read_increment_vfifo_hr[0] => ~NO_FANOUT~
phy_ddio_dmdout[0] => phy_ddio_dmdout[0].IN1
phy_ddio_dmdout[1] => phy_ddio_dmdout[1].IN1
phy_ddio_dmdout[2] => phy_ddio_dmdout[2].IN1
phy_ddio_dmdout[3] => phy_ddio_dmdout[3].IN1
phy_ddio_dmdout[4] => ~NO_FANOUT~
phy_ddio_dmdout[5] => ~NO_FANOUT~
phy_ddio_dmdout[6] => ~NO_FANOUT~
phy_ddio_dmdout[7] => ~NO_FANOUT~
phy_ddio_dmdout[8] => ~NO_FANOUT~
phy_ddio_dmdout[9] => ~NO_FANOUT~
phy_ddio_dmdout[10] => ~NO_FANOUT~
phy_ddio_dmdout[11] => ~NO_FANOUT~
phy_ddio_dmdout[12] => ~NO_FANOUT~
phy_ddio_dmdout[13] => ~NO_FANOUT~
phy_ddio_dmdout[14] => ~NO_FANOUT~
phy_ddio_dmdout[15] => ~NO_FANOUT~
phy_ddio_dmdout[16] => ~NO_FANOUT~
phy_ddio_dmdout[17] => ~NO_FANOUT~
phy_ddio_dmdout[18] => ~NO_FANOUT~
phy_ddio_dmdout[19] => ~NO_FANOUT~
phy_ddio_dmdout[20] => ~NO_FANOUT~
phy_ddio_dmdout[21] => ~NO_FANOUT~
phy_ddio_dmdout[22] => ~NO_FANOUT~
phy_ddio_dmdout[23] => ~NO_FANOUT~
phy_ddio_dmdout[24] => ~NO_FANOUT~
phy_ddio_dqdout[0] => phy_ddio_dqdout[0].IN1
phy_ddio_dqdout[1] => phy_ddio_dqdout[1].IN1
phy_ddio_dqdout[2] => phy_ddio_dqdout[2].IN1
phy_ddio_dqdout[3] => phy_ddio_dqdout[3].IN1
phy_ddio_dqdout[4] => phy_ddio_dqdout[4].IN1
phy_ddio_dqdout[5] => phy_ddio_dqdout[5].IN1
phy_ddio_dqdout[6] => phy_ddio_dqdout[6].IN1
phy_ddio_dqdout[7] => phy_ddio_dqdout[7].IN1
phy_ddio_dqdout[8] => phy_ddio_dqdout[8].IN1
phy_ddio_dqdout[9] => phy_ddio_dqdout[9].IN1
phy_ddio_dqdout[10] => phy_ddio_dqdout[10].IN1
phy_ddio_dqdout[11] => phy_ddio_dqdout[11].IN1
phy_ddio_dqdout[12] => phy_ddio_dqdout[12].IN1
phy_ddio_dqdout[13] => phy_ddio_dqdout[13].IN1
phy_ddio_dqdout[14] => phy_ddio_dqdout[14].IN1
phy_ddio_dqdout[15] => phy_ddio_dqdout[15].IN1
phy_ddio_dqdout[16] => phy_ddio_dqdout[16].IN1
phy_ddio_dqdout[17] => phy_ddio_dqdout[17].IN1
phy_ddio_dqdout[18] => phy_ddio_dqdout[18].IN1
phy_ddio_dqdout[19] => phy_ddio_dqdout[19].IN1
phy_ddio_dqdout[20] => phy_ddio_dqdout[20].IN1
phy_ddio_dqdout[21] => phy_ddio_dqdout[21].IN1
phy_ddio_dqdout[22] => phy_ddio_dqdout[22].IN1
phy_ddio_dqdout[23] => phy_ddio_dqdout[23].IN1
phy_ddio_dqdout[24] => phy_ddio_dqdout[24].IN1
phy_ddio_dqdout[25] => phy_ddio_dqdout[25].IN1
phy_ddio_dqdout[26] => phy_ddio_dqdout[26].IN1
phy_ddio_dqdout[27] => phy_ddio_dqdout[27].IN1
phy_ddio_dqdout[28] => phy_ddio_dqdout[28].IN1
phy_ddio_dqdout[29] => phy_ddio_dqdout[29].IN1
phy_ddio_dqdout[30] => phy_ddio_dqdout[30].IN1
phy_ddio_dqdout[31] => phy_ddio_dqdout[31].IN1
phy_ddio_dqdout[32] => ~NO_FANOUT~
phy_ddio_dqdout[33] => ~NO_FANOUT~
phy_ddio_dqdout[34] => ~NO_FANOUT~
phy_ddio_dqdout[35] => ~NO_FANOUT~
phy_ddio_dqdout[36] => ~NO_FANOUT~
phy_ddio_dqdout[37] => ~NO_FANOUT~
phy_ddio_dqdout[38] => ~NO_FANOUT~
phy_ddio_dqdout[39] => ~NO_FANOUT~
phy_ddio_dqdout[40] => ~NO_FANOUT~
phy_ddio_dqdout[41] => ~NO_FANOUT~
phy_ddio_dqdout[42] => ~NO_FANOUT~
phy_ddio_dqdout[43] => ~NO_FANOUT~
phy_ddio_dqdout[44] => ~NO_FANOUT~
phy_ddio_dqdout[45] => ~NO_FANOUT~
phy_ddio_dqdout[46] => ~NO_FANOUT~
phy_ddio_dqdout[47] => ~NO_FANOUT~
phy_ddio_dqdout[48] => ~NO_FANOUT~
phy_ddio_dqdout[49] => ~NO_FANOUT~
phy_ddio_dqdout[50] => ~NO_FANOUT~
phy_ddio_dqdout[51] => ~NO_FANOUT~
phy_ddio_dqdout[52] => ~NO_FANOUT~
phy_ddio_dqdout[53] => ~NO_FANOUT~
phy_ddio_dqdout[54] => ~NO_FANOUT~
phy_ddio_dqdout[55] => ~NO_FANOUT~
phy_ddio_dqdout[56] => ~NO_FANOUT~
phy_ddio_dqdout[57] => ~NO_FANOUT~
phy_ddio_dqdout[58] => ~NO_FANOUT~
phy_ddio_dqdout[59] => ~NO_FANOUT~
phy_ddio_dqdout[60] => ~NO_FANOUT~
phy_ddio_dqdout[61] => ~NO_FANOUT~
phy_ddio_dqdout[62] => ~NO_FANOUT~
phy_ddio_dqdout[63] => ~NO_FANOUT~
phy_ddio_dqdout[64] => ~NO_FANOUT~
phy_ddio_dqdout[65] => ~NO_FANOUT~
phy_ddio_dqdout[66] => ~NO_FANOUT~
phy_ddio_dqdout[67] => ~NO_FANOUT~
phy_ddio_dqdout[68] => ~NO_FANOUT~
phy_ddio_dqdout[69] => ~NO_FANOUT~
phy_ddio_dqdout[70] => ~NO_FANOUT~
phy_ddio_dqdout[71] => ~NO_FANOUT~
phy_ddio_dqdout[72] => ~NO_FANOUT~
phy_ddio_dqdout[73] => ~NO_FANOUT~
phy_ddio_dqdout[74] => ~NO_FANOUT~
phy_ddio_dqdout[75] => ~NO_FANOUT~
phy_ddio_dqdout[76] => ~NO_FANOUT~
phy_ddio_dqdout[77] => ~NO_FANOUT~
phy_ddio_dqdout[78] => ~NO_FANOUT~
phy_ddio_dqdout[79] => ~NO_FANOUT~
phy_ddio_dqdout[80] => ~NO_FANOUT~
phy_ddio_dqdout[81] => ~NO_FANOUT~
phy_ddio_dqdout[82] => ~NO_FANOUT~
phy_ddio_dqdout[83] => ~NO_FANOUT~
phy_ddio_dqdout[84] => ~NO_FANOUT~
phy_ddio_dqdout[85] => ~NO_FANOUT~
phy_ddio_dqdout[86] => ~NO_FANOUT~
phy_ddio_dqdout[87] => ~NO_FANOUT~
phy_ddio_dqdout[88] => ~NO_FANOUT~
phy_ddio_dqdout[89] => ~NO_FANOUT~
phy_ddio_dqdout[90] => ~NO_FANOUT~
phy_ddio_dqdout[91] => ~NO_FANOUT~
phy_ddio_dqdout[92] => ~NO_FANOUT~
phy_ddio_dqdout[93] => ~NO_FANOUT~
phy_ddio_dqdout[94] => ~NO_FANOUT~
phy_ddio_dqdout[95] => ~NO_FANOUT~
phy_ddio_dqdout[96] => ~NO_FANOUT~
phy_ddio_dqdout[97] => ~NO_FANOUT~
phy_ddio_dqdout[98] => ~NO_FANOUT~
phy_ddio_dqdout[99] => ~NO_FANOUT~
phy_ddio_dqdout[100] => ~NO_FANOUT~
phy_ddio_dqdout[101] => ~NO_FANOUT~
phy_ddio_dqdout[102] => ~NO_FANOUT~
phy_ddio_dqdout[103] => ~NO_FANOUT~
phy_ddio_dqdout[104] => ~NO_FANOUT~
phy_ddio_dqdout[105] => ~NO_FANOUT~
phy_ddio_dqdout[106] => ~NO_FANOUT~
phy_ddio_dqdout[107] => ~NO_FANOUT~
phy_ddio_dqdout[108] => ~NO_FANOUT~
phy_ddio_dqdout[109] => ~NO_FANOUT~
phy_ddio_dqdout[110] => ~NO_FANOUT~
phy_ddio_dqdout[111] => ~NO_FANOUT~
phy_ddio_dqdout[112] => ~NO_FANOUT~
phy_ddio_dqdout[113] => ~NO_FANOUT~
phy_ddio_dqdout[114] => ~NO_FANOUT~
phy_ddio_dqdout[115] => ~NO_FANOUT~
phy_ddio_dqdout[116] => ~NO_FANOUT~
phy_ddio_dqdout[117] => ~NO_FANOUT~
phy_ddio_dqdout[118] => ~NO_FANOUT~
phy_ddio_dqdout[119] => ~NO_FANOUT~
phy_ddio_dqdout[120] => ~NO_FANOUT~
phy_ddio_dqdout[121] => ~NO_FANOUT~
phy_ddio_dqdout[122] => ~NO_FANOUT~
phy_ddio_dqdout[123] => ~NO_FANOUT~
phy_ddio_dqdout[124] => ~NO_FANOUT~
phy_ddio_dqdout[125] => ~NO_FANOUT~
phy_ddio_dqdout[126] => ~NO_FANOUT~
phy_ddio_dqdout[127] => ~NO_FANOUT~
phy_ddio_dqdout[128] => ~NO_FANOUT~
phy_ddio_dqdout[129] => ~NO_FANOUT~
phy_ddio_dqdout[130] => ~NO_FANOUT~
phy_ddio_dqdout[131] => ~NO_FANOUT~
phy_ddio_dqdout[132] => ~NO_FANOUT~
phy_ddio_dqdout[133] => ~NO_FANOUT~
phy_ddio_dqdout[134] => ~NO_FANOUT~
phy_ddio_dqdout[135] => ~NO_FANOUT~
phy_ddio_dqdout[136] => ~NO_FANOUT~
phy_ddio_dqdout[137] => ~NO_FANOUT~
phy_ddio_dqdout[138] => ~NO_FANOUT~
phy_ddio_dqdout[139] => ~NO_FANOUT~
phy_ddio_dqdout[140] => ~NO_FANOUT~
phy_ddio_dqdout[141] => ~NO_FANOUT~
phy_ddio_dqdout[142] => ~NO_FANOUT~
phy_ddio_dqdout[143] => ~NO_FANOUT~
phy_ddio_dqdout[144] => ~NO_FANOUT~
phy_ddio_dqdout[145] => ~NO_FANOUT~
phy_ddio_dqdout[146] => ~NO_FANOUT~
phy_ddio_dqdout[147] => ~NO_FANOUT~
phy_ddio_dqdout[148] => ~NO_FANOUT~
phy_ddio_dqdout[149] => ~NO_FANOUT~
phy_ddio_dqdout[150] => ~NO_FANOUT~
phy_ddio_dqdout[151] => ~NO_FANOUT~
phy_ddio_dqdout[152] => ~NO_FANOUT~
phy_ddio_dqdout[153] => ~NO_FANOUT~
phy_ddio_dqdout[154] => ~NO_FANOUT~
phy_ddio_dqdout[155] => ~NO_FANOUT~
phy_ddio_dqdout[156] => ~NO_FANOUT~
phy_ddio_dqdout[157] => ~NO_FANOUT~
phy_ddio_dqdout[158] => ~NO_FANOUT~
phy_ddio_dqdout[159] => ~NO_FANOUT~
phy_ddio_dqdout[160] => ~NO_FANOUT~
phy_ddio_dqdout[161] => ~NO_FANOUT~
phy_ddio_dqdout[162] => ~NO_FANOUT~
phy_ddio_dqdout[163] => ~NO_FANOUT~
phy_ddio_dqdout[164] => ~NO_FANOUT~
phy_ddio_dqdout[165] => ~NO_FANOUT~
phy_ddio_dqdout[166] => ~NO_FANOUT~
phy_ddio_dqdout[167] => ~NO_FANOUT~
phy_ddio_dqdout[168] => ~NO_FANOUT~
phy_ddio_dqdout[169] => ~NO_FANOUT~
phy_ddio_dqdout[170] => ~NO_FANOUT~
phy_ddio_dqdout[171] => ~NO_FANOUT~
phy_ddio_dqdout[172] => ~NO_FANOUT~
phy_ddio_dqdout[173] => ~NO_FANOUT~
phy_ddio_dqdout[174] => ~NO_FANOUT~
phy_ddio_dqdout[175] => ~NO_FANOUT~
phy_ddio_dqdout[176] => ~NO_FANOUT~
phy_ddio_dqdout[177] => ~NO_FANOUT~
phy_ddio_dqdout[178] => ~NO_FANOUT~
phy_ddio_dqdout[179] => ~NO_FANOUT~
phy_ddio_dqs_oe[0] => phy_ddio_dqs_oe[0].IN1
phy_ddio_dqs_oe[1] => phy_ddio_dqs_oe[1].IN1
phy_ddio_dqs_oe[2] => ~NO_FANOUT~
phy_ddio_dqs_oe[3] => ~NO_FANOUT~
phy_ddio_dqs_oe[4] => ~NO_FANOUT~
phy_ddio_dqs_oe[5] => ~NO_FANOUT~
phy_ddio_dqs_oe[6] => ~NO_FANOUT~
phy_ddio_dqs_oe[7] => ~NO_FANOUT~
phy_ddio_dqs_oe[8] => ~NO_FANOUT~
phy_ddio_dqs_oe[9] => ~NO_FANOUT~
phy_ddio_dqsdout[0] => phy_ddio_dqsdout[0].IN1
phy_ddio_dqsdout[1] => phy_ddio_dqsdout[1].IN1
phy_ddio_dqsdout[2] => phy_ddio_dqsdout[2].IN1
phy_ddio_dqsdout[3] => phy_ddio_dqsdout[3].IN1
phy_ddio_dqsdout[4] => ~NO_FANOUT~
phy_ddio_dqsdout[5] => ~NO_FANOUT~
phy_ddio_dqsdout[6] => ~NO_FANOUT~
phy_ddio_dqsdout[7] => ~NO_FANOUT~
phy_ddio_dqsdout[8] => ~NO_FANOUT~
phy_ddio_dqsdout[9] => ~NO_FANOUT~
phy_ddio_dqsdout[10] => ~NO_FANOUT~
phy_ddio_dqsdout[11] => ~NO_FANOUT~
phy_ddio_dqsdout[12] => ~NO_FANOUT~
phy_ddio_dqsdout[13] => ~NO_FANOUT~
phy_ddio_dqsdout[14] => ~NO_FANOUT~
phy_ddio_dqsdout[15] => ~NO_FANOUT~
phy_ddio_dqsdout[16] => ~NO_FANOUT~
phy_ddio_dqsdout[17] => ~NO_FANOUT~
phy_ddio_dqsdout[18] => ~NO_FANOUT~
phy_ddio_dqsdout[19] => ~NO_FANOUT~
phy_ddio_dqsb_oe[0] => ~NO_FANOUT~
phy_ddio_dqsb_oe[1] => ~NO_FANOUT~
phy_ddio_dqsb_oe[2] => ~NO_FANOUT~
phy_ddio_dqsb_oe[3] => ~NO_FANOUT~
phy_ddio_dqsb_oe[4] => ~NO_FANOUT~
phy_ddio_dqsb_oe[5] => ~NO_FANOUT~
phy_ddio_dqsb_oe[6] => ~NO_FANOUT~
phy_ddio_dqsb_oe[7] => ~NO_FANOUT~
phy_ddio_dqsb_oe[8] => ~NO_FANOUT~
phy_ddio_dqsb_oe[9] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[0] => phy_ddio_dqslogic_oct[0].IN1
phy_ddio_dqslogic_oct[1] => phy_ddio_dqslogic_oct[1].IN1
phy_ddio_dqslogic_oct[2] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[3] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[4] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[5] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[6] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[7] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[8] => ~NO_FANOUT~
phy_ddio_dqslogic_oct[9] => ~NO_FANOUT~
phy_ddio_dqslogic_fiforeset[0] => phy_ddio_dqslogic_fiforeset[0].IN1
phy_ddio_dqslogic_fiforeset[1] => ~NO_FANOUT~
phy_ddio_dqslogic_fiforeset[2] => ~NO_FANOUT~
phy_ddio_dqslogic_fiforeset[3] => ~NO_FANOUT~
phy_ddio_dqslogic_fiforeset[4] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_pstamble[0] => phy_ddio_dqslogic_aclr_pstamble[0].IN1
phy_ddio_dqslogic_aclr_pstamble[1] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_pstamble[2] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_pstamble[3] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_pstamble[4] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_fifoctrl[0] => phy_ddio_dqslogic_aclr_fifoctrl[0].IN1
phy_ddio_dqslogic_aclr_fifoctrl[1] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_fifoctrl[2] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_fifoctrl[3] => ~NO_FANOUT~
phy_ddio_dqslogic_aclr_fifoctrl[4] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[0] => phy_ddio_dqslogic_incwrptr[0].IN1
phy_ddio_dqslogic_incwrptr[1] => phy_ddio_dqslogic_incwrptr[1].IN1
phy_ddio_dqslogic_incwrptr[2] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[3] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[4] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[5] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[6] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[7] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[8] => ~NO_FANOUT~
phy_ddio_dqslogic_incwrptr[9] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[0] => phy_ddio_dqslogic_readlatency[0].IN1
phy_ddio_dqslogic_readlatency[1] => phy_ddio_dqslogic_readlatency[1].IN1
phy_ddio_dqslogic_readlatency[2] => phy_ddio_dqslogic_readlatency[2].IN1
phy_ddio_dqslogic_readlatency[3] => phy_ddio_dqslogic_readlatency[3].IN1
phy_ddio_dqslogic_readlatency[4] => phy_ddio_dqslogic_readlatency[4].IN1
phy_ddio_dqslogic_readlatency[5] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[6] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[7] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[8] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[9] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[10] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[11] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[12] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[13] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[14] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[15] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[16] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[17] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[18] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[19] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[20] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[21] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[22] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[23] => ~NO_FANOUT~
phy_ddio_dqslogic_readlatency[24] => ~NO_FANOUT~
ddio_phy_dqslogic_rdatavalid[0] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.lfifo_rdata_valid
ddio_phy_dqslogic_rdatavalid[1] <= <VCC>
ddio_phy_dqslogic_rdatavalid[2] <= <VCC>
ddio_phy_dqslogic_rdatavalid[3] <= <VCC>
ddio_phy_dqslogic_rdatavalid[4] <= <VCC>
ddio_phy_dqdin[0] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[1] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[2] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[3] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[4] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[5] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[6] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[7] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[8] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[9] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[10] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[11] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[12] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[13] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[14] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[15] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[16] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[17] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[18] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[19] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[20] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[21] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[22] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[23] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[24] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[25] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[26] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[27] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[28] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[29] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[30] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[31] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.read_data_out
ddio_phy_dqdin[32] <= <GND>
ddio_phy_dqdin[33] <= <GND>
ddio_phy_dqdin[34] <= <GND>
ddio_phy_dqdin[35] <= <GND>
ddio_phy_dqdin[36] <= <GND>
ddio_phy_dqdin[37] <= <GND>
ddio_phy_dqdin[38] <= <GND>
ddio_phy_dqdin[39] <= <GND>
ddio_phy_dqdin[40] <= <GND>
ddio_phy_dqdin[41] <= <GND>
ddio_phy_dqdin[42] <= <GND>
ddio_phy_dqdin[43] <= <GND>
ddio_phy_dqdin[44] <= <GND>
ddio_phy_dqdin[45] <= <GND>
ddio_phy_dqdin[46] <= <GND>
ddio_phy_dqdin[47] <= <GND>
ddio_phy_dqdin[48] <= <GND>
ddio_phy_dqdin[49] <= <GND>
ddio_phy_dqdin[50] <= <GND>
ddio_phy_dqdin[51] <= <GND>
ddio_phy_dqdin[52] <= <GND>
ddio_phy_dqdin[53] <= <GND>
ddio_phy_dqdin[54] <= <GND>
ddio_phy_dqdin[55] <= <GND>
ddio_phy_dqdin[56] <= <GND>
ddio_phy_dqdin[57] <= <GND>
ddio_phy_dqdin[58] <= <GND>
ddio_phy_dqdin[59] <= <GND>
ddio_phy_dqdin[60] <= <GND>
ddio_phy_dqdin[61] <= <GND>
ddio_phy_dqdin[62] <= <GND>
ddio_phy_dqdin[63] <= <GND>
ddio_phy_dqdin[64] <= <GND>
ddio_phy_dqdin[65] <= <GND>
ddio_phy_dqdin[66] <= <GND>
ddio_phy_dqdin[67] <= <GND>
ddio_phy_dqdin[68] <= <GND>
ddio_phy_dqdin[69] <= <GND>
ddio_phy_dqdin[70] <= <GND>
ddio_phy_dqdin[71] <= <GND>
ddio_phy_dqdin[72] <= <GND>
ddio_phy_dqdin[73] <= <GND>
ddio_phy_dqdin[74] <= <GND>
ddio_phy_dqdin[75] <= <GND>
ddio_phy_dqdin[76] <= <GND>
ddio_phy_dqdin[77] <= <GND>
ddio_phy_dqdin[78] <= <GND>
ddio_phy_dqdin[79] <= <GND>
ddio_phy_dqdin[80] <= <GND>
ddio_phy_dqdin[81] <= <GND>
ddio_phy_dqdin[82] <= <GND>
ddio_phy_dqdin[83] <= <GND>
ddio_phy_dqdin[84] <= <GND>
ddio_phy_dqdin[85] <= <GND>
ddio_phy_dqdin[86] <= <GND>
ddio_phy_dqdin[87] <= <GND>
ddio_phy_dqdin[88] <= <GND>
ddio_phy_dqdin[89] <= <GND>
ddio_phy_dqdin[90] <= <GND>
ddio_phy_dqdin[91] <= <GND>
ddio_phy_dqdin[92] <= <GND>
ddio_phy_dqdin[93] <= <GND>
ddio_phy_dqdin[94] <= <GND>
ddio_phy_dqdin[95] <= <GND>
ddio_phy_dqdin[96] <= <GND>
ddio_phy_dqdin[97] <= <GND>
ddio_phy_dqdin[98] <= <GND>
ddio_phy_dqdin[99] <= <GND>
ddio_phy_dqdin[100] <= <GND>
ddio_phy_dqdin[101] <= <GND>
ddio_phy_dqdin[102] <= <GND>
ddio_phy_dqdin[103] <= <GND>
ddio_phy_dqdin[104] <= <GND>
ddio_phy_dqdin[105] <= <GND>
ddio_phy_dqdin[106] <= <GND>
ddio_phy_dqdin[107] <= <GND>
ddio_phy_dqdin[108] <= <GND>
ddio_phy_dqdin[109] <= <GND>
ddio_phy_dqdin[110] <= <GND>
ddio_phy_dqdin[111] <= <GND>
ddio_phy_dqdin[112] <= <GND>
ddio_phy_dqdin[113] <= <GND>
ddio_phy_dqdin[114] <= <GND>
ddio_phy_dqdin[115] <= <GND>
ddio_phy_dqdin[116] <= <GND>
ddio_phy_dqdin[117] <= <GND>
ddio_phy_dqdin[118] <= <GND>
ddio_phy_dqdin[119] <= <GND>
ddio_phy_dqdin[120] <= <GND>
ddio_phy_dqdin[121] <= <GND>
ddio_phy_dqdin[122] <= <GND>
ddio_phy_dqdin[123] <= <GND>
ddio_phy_dqdin[124] <= <GND>
ddio_phy_dqdin[125] <= <GND>
ddio_phy_dqdin[126] <= <GND>
ddio_phy_dqdin[127] <= <GND>
ddio_phy_dqdin[128] <= <GND>
ddio_phy_dqdin[129] <= <GND>
ddio_phy_dqdin[130] <= <GND>
ddio_phy_dqdin[131] <= <GND>
ddio_phy_dqdin[132] <= <GND>
ddio_phy_dqdin[133] <= <GND>
ddio_phy_dqdin[134] <= <GND>
ddio_phy_dqdin[135] <= <GND>
ddio_phy_dqdin[136] <= <GND>
ddio_phy_dqdin[137] <= <GND>
ddio_phy_dqdin[138] <= <GND>
ddio_phy_dqdin[139] <= <GND>
ddio_phy_dqdin[140] <= <GND>
ddio_phy_dqdin[141] <= <GND>
ddio_phy_dqdin[142] <= <GND>
ddio_phy_dqdin[143] <= <GND>
ddio_phy_dqdin[144] <= <GND>
ddio_phy_dqdin[145] <= <GND>
ddio_phy_dqdin[146] <= <GND>
ddio_phy_dqdin[147] <= <GND>
ddio_phy_dqdin[148] <= <GND>
ddio_phy_dqdin[149] <= <GND>
ddio_phy_dqdin[150] <= <GND>
ddio_phy_dqdin[151] <= <GND>
ddio_phy_dqdin[152] <= <GND>
ddio_phy_dqdin[153] <= <GND>
ddio_phy_dqdin[154] <= <GND>
ddio_phy_dqdin[155] <= <GND>
ddio_phy_dqdin[156] <= <GND>
ddio_phy_dqdin[157] <= <GND>
ddio_phy_dqdin[158] <= <GND>
ddio_phy_dqdin[159] <= <GND>
ddio_phy_dqdin[160] <= <GND>
ddio_phy_dqdin[161] <= <GND>
ddio_phy_dqdin[162] <= <GND>
ddio_phy_dqdin[163] <= <GND>
ddio_phy_dqdin[164] <= <GND>
ddio_phy_dqdin[165] <= <GND>
ddio_phy_dqdin[166] <= <GND>
ddio_phy_dqdin[167] <= <GND>
ddio_phy_dqdin[168] <= <GND>
ddio_phy_dqdin[169] <= <GND>
ddio_phy_dqdin[170] <= <GND>
ddio_phy_dqdin[171] <= <GND>
ddio_phy_dqdin[172] <= <GND>
ddio_phy_dqdin[173] <= <GND>
ddio_phy_dqdin[174] <= <GND>
ddio_phy_dqdin[175] <= <GND>
ddio_phy_dqdin[176] <= <GND>
ddio_phy_dqdin[177] <= <GND>
ddio_phy_dqdin[178] <= <GND>
ddio_phy_dqdin[179] <= <GND>
phy_ddio_dqslogic_incrdataen[0] => phy_ddio_dqslogic_incrdataen[0].IN1
phy_ddio_dqslogic_incrdataen[1] => phy_ddio_dqslogic_incrdataen[1].IN1
phy_ddio_dqslogic_incrdataen[2] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[3] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[4] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[5] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[6] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[7] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[8] => ~NO_FANOUT~
phy_ddio_dqslogic_incrdataen[9] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[0] => phy_ddio_dqslogic_dqsena[0].IN2
phy_ddio_dqslogic_dqsena[1] => phy_ddio_dqslogic_dqsena[1].IN2
phy_ddio_dqslogic_dqsena[2] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[3] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[4] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[5] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[6] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[7] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[8] => ~NO_FANOUT~
phy_ddio_dqslogic_dqsena[9] => ~NO_FANOUT~
phy_ddio_dqoe[0] => phy_ddio_dqoe[0].IN1
phy_ddio_dqoe[1] => phy_ddio_dqoe[1].IN1
phy_ddio_dqoe[2] => phy_ddio_dqoe[2].IN1
phy_ddio_dqoe[3] => phy_ddio_dqoe[3].IN1
phy_ddio_dqoe[4] => phy_ddio_dqoe[4].IN1
phy_ddio_dqoe[5] => phy_ddio_dqoe[5].IN1
phy_ddio_dqoe[6] => phy_ddio_dqoe[6].IN1
phy_ddio_dqoe[7] => phy_ddio_dqoe[7].IN1
phy_ddio_dqoe[8] => phy_ddio_dqoe[8].IN1
phy_ddio_dqoe[9] => phy_ddio_dqoe[9].IN1
phy_ddio_dqoe[10] => phy_ddio_dqoe[10].IN1
phy_ddio_dqoe[11] => phy_ddio_dqoe[11].IN1
phy_ddio_dqoe[12] => phy_ddio_dqoe[12].IN1
phy_ddio_dqoe[13] => phy_ddio_dqoe[13].IN1
phy_ddio_dqoe[14] => phy_ddio_dqoe[14].IN1
phy_ddio_dqoe[15] => phy_ddio_dqoe[15].IN1
phy_ddio_dqoe[16] => ~NO_FANOUT~
phy_ddio_dqoe[17] => ~NO_FANOUT~
phy_ddio_dqoe[18] => ~NO_FANOUT~
phy_ddio_dqoe[19] => ~NO_FANOUT~
phy_ddio_dqoe[20] => ~NO_FANOUT~
phy_ddio_dqoe[21] => ~NO_FANOUT~
phy_ddio_dqoe[22] => ~NO_FANOUT~
phy_ddio_dqoe[23] => ~NO_FANOUT~
phy_ddio_dqoe[24] => ~NO_FANOUT~
phy_ddio_dqoe[25] => ~NO_FANOUT~
phy_ddio_dqoe[26] => ~NO_FANOUT~
phy_ddio_dqoe[27] => ~NO_FANOUT~
phy_ddio_dqoe[28] => ~NO_FANOUT~
phy_ddio_dqoe[29] => ~NO_FANOUT~
phy_ddio_dqoe[30] => ~NO_FANOUT~
phy_ddio_dqoe[31] => ~NO_FANOUT~
phy_ddio_dqoe[32] => ~NO_FANOUT~
phy_ddio_dqoe[33] => ~NO_FANOUT~
phy_ddio_dqoe[34] => ~NO_FANOUT~
phy_ddio_dqoe[35] => ~NO_FANOUT~
phy_ddio_dqoe[36] => ~NO_FANOUT~
phy_ddio_dqoe[37] => ~NO_FANOUT~
phy_ddio_dqoe[38] => ~NO_FANOUT~
phy_ddio_dqoe[39] => ~NO_FANOUT~
phy_ddio_dqoe[40] => ~NO_FANOUT~
phy_ddio_dqoe[41] => ~NO_FANOUT~
phy_ddio_dqoe[42] => ~NO_FANOUT~
phy_ddio_dqoe[43] => ~NO_FANOUT~
phy_ddio_dqoe[44] => ~NO_FANOUT~
phy_ddio_dqoe[45] => ~NO_FANOUT~
phy_ddio_dqoe[46] => ~NO_FANOUT~
phy_ddio_dqoe[47] => ~NO_FANOUT~
phy_ddio_dqoe[48] => ~NO_FANOUT~
phy_ddio_dqoe[49] => ~NO_FANOUT~
phy_ddio_dqoe[50] => ~NO_FANOUT~
phy_ddio_dqoe[51] => ~NO_FANOUT~
phy_ddio_dqoe[52] => ~NO_FANOUT~
phy_ddio_dqoe[53] => ~NO_FANOUT~
phy_ddio_dqoe[54] => ~NO_FANOUT~
phy_ddio_dqoe[55] => ~NO_FANOUT~
phy_ddio_dqoe[56] => ~NO_FANOUT~
phy_ddio_dqoe[57] => ~NO_FANOUT~
phy_ddio_dqoe[58] => ~NO_FANOUT~
phy_ddio_dqoe[59] => ~NO_FANOUT~
phy_ddio_dqoe[60] => ~NO_FANOUT~
phy_ddio_dqoe[61] => ~NO_FANOUT~
phy_ddio_dqoe[62] => ~NO_FANOUT~
phy_ddio_dqoe[63] => ~NO_FANOUT~
phy_ddio_dqoe[64] => ~NO_FANOUT~
phy_ddio_dqoe[65] => ~NO_FANOUT~
phy_ddio_dqoe[66] => ~NO_FANOUT~
phy_ddio_dqoe[67] => ~NO_FANOUT~
phy_ddio_dqoe[68] => ~NO_FANOUT~
phy_ddio_dqoe[69] => ~NO_FANOUT~
phy_ddio_dqoe[70] => ~NO_FANOUT~
phy_ddio_dqoe[71] => ~NO_FANOUT~
phy_ddio_dqoe[72] => ~NO_FANOUT~
phy_ddio_dqoe[73] => ~NO_FANOUT~
phy_ddio_dqoe[74] => ~NO_FANOUT~
phy_ddio_dqoe[75] => ~NO_FANOUT~
phy_ddio_dqoe[76] => ~NO_FANOUT~
phy_ddio_dqoe[77] => ~NO_FANOUT~
phy_ddio_dqoe[78] => ~NO_FANOUT~
phy_ddio_dqoe[79] => ~NO_FANOUT~
phy_ddio_dqoe[80] => ~NO_FANOUT~
phy_ddio_dqoe[81] => ~NO_FANOUT~
phy_ddio_dqoe[82] => ~NO_FANOUT~
phy_ddio_dqoe[83] => ~NO_FANOUT~
phy_ddio_dqoe[84] => ~NO_FANOUT~
phy_ddio_dqoe[85] => ~NO_FANOUT~
phy_ddio_dqoe[86] => ~NO_FANOUT~
phy_ddio_dqoe[87] => ~NO_FANOUT~
phy_ddio_dqoe[88] => ~NO_FANOUT~
phy_ddio_dqoe[89] => ~NO_FANOUT~
capture_strobe_tracking[0] <= hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs.capture_strobe_tracking


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads
reset_n => ~NO_FANOUT~
reset_n_afi_clk => ~NO_FANOUT~
pll_hr_clk => pll_hr_clk.IN23
pll_avl_phy_clk => pll_avl_phy_clk.IN23
pll_afi_clk => ~NO_FANOUT~
pll_mem_clk => pll_mem_clk.IN23
pll_write_clk => pll_write_clk.IN23
phy_ddio_address[0] => phy_ddio_address[0].IN1
phy_ddio_address[1] => phy_ddio_address[1].IN1
phy_ddio_address[2] => phy_ddio_address[2].IN1
phy_ddio_address[3] => phy_ddio_address[3].IN1
phy_ddio_address[4] => phy_ddio_address[4].IN1
phy_ddio_address[5] => phy_ddio_address[5].IN1
phy_ddio_address[6] => phy_ddio_address[6].IN1
phy_ddio_address[7] => phy_ddio_address[7].IN1
phy_ddio_address[8] => phy_ddio_address[8].IN1
phy_ddio_address[9] => phy_ddio_address[9].IN1
phy_ddio_address[10] => phy_ddio_address[10].IN1
phy_ddio_address[11] => phy_ddio_address[11].IN1
phy_ddio_address[12] => phy_ddio_address[12].IN1
phy_ddio_address[13] => phy_ddio_address[13].IN1
phy_ddio_address[14] => phy_ddio_address[14].IN1
phy_ddio_address[15] => phy_ddio_address[15].IN1
phy_ddio_address[16] => phy_ddio_address[16].IN1
phy_ddio_address[17] => phy_ddio_address[17].IN1
phy_ddio_address[18] => phy_ddio_address[18].IN1
phy_ddio_address[19] => phy_ddio_address[19].IN1
phy_ddio_address[20] => phy_ddio_address[20].IN1
phy_ddio_address[21] => phy_ddio_address[21].IN1
phy_ddio_address[22] => phy_ddio_address[22].IN1
phy_ddio_address[23] => phy_ddio_address[23].IN1
phy_ddio_address[24] => phy_ddio_address[24].IN1
phy_ddio_address[25] => phy_ddio_address[25].IN1
phy_ddio_address[26] => phy_ddio_address[26].IN1
phy_ddio_address[27] => phy_ddio_address[27].IN1
phy_ddio_address[28] => phy_ddio_address[28].IN1
phy_ddio_address[29] => phy_ddio_address[29].IN1
phy_ddio_address[30] => phy_ddio_address[30].IN1
phy_ddio_address[31] => phy_ddio_address[31].IN1
phy_ddio_address[32] => phy_ddio_address[32].IN1
phy_ddio_address[33] => phy_ddio_address[33].IN1
phy_ddio_address[34] => phy_ddio_address[34].IN1
phy_ddio_address[35] => phy_ddio_address[35].IN1
phy_ddio_address[36] => phy_ddio_address[36].IN1
phy_ddio_address[37] => phy_ddio_address[37].IN1
phy_ddio_address[38] => phy_ddio_address[38].IN1
phy_ddio_address[39] => phy_ddio_address[39].IN1
phy_ddio_address[40] => phy_ddio_address[40].IN1
phy_ddio_address[41] => phy_ddio_address[41].IN1
phy_ddio_address[42] => phy_ddio_address[42].IN1
phy_ddio_address[43] => phy_ddio_address[43].IN1
phy_ddio_address[44] => phy_ddio_address[44].IN1
phy_ddio_address[45] => phy_ddio_address[45].IN1
phy_ddio_address[46] => phy_ddio_address[46].IN1
phy_ddio_address[47] => phy_ddio_address[47].IN1
phy_ddio_address[48] => phy_ddio_address[48].IN1
phy_ddio_address[49] => phy_ddio_address[49].IN1
phy_ddio_address[50] => phy_ddio_address[50].IN1
phy_ddio_address[51] => phy_ddio_address[51].IN1
dll_delayctrl_in[0] => dll_delayctrl_in[0].IN23
dll_delayctrl_in[1] => dll_delayctrl_in[1].IN23
dll_delayctrl_in[2] => dll_delayctrl_in[2].IN23
dll_delayctrl_in[3] => dll_delayctrl_in[3].IN23
dll_delayctrl_in[4] => dll_delayctrl_in[4].IN23
dll_delayctrl_in[5] => dll_delayctrl_in[5].IN23
dll_delayctrl_in[6] => dll_delayctrl_in[6].IN23
phy_ddio_bank[0] => phy_ddio_bank[0].IN1
phy_ddio_bank[1] => phy_ddio_bank[1].IN1
phy_ddio_bank[2] => phy_ddio_bank[2].IN1
phy_ddio_bank[3] => phy_ddio_bank[3].IN1
phy_ddio_bank[4] => phy_ddio_bank[4].IN1
phy_ddio_bank[5] => phy_ddio_bank[5].IN1
phy_ddio_bank[6] => phy_ddio_bank[6].IN1
phy_ddio_bank[7] => phy_ddio_bank[7].IN1
phy_ddio_bank[8] => phy_ddio_bank[8].IN1
phy_ddio_bank[9] => phy_ddio_bank[9].IN1
phy_ddio_bank[10] => phy_ddio_bank[10].IN1
phy_ddio_bank[11] => phy_ddio_bank[11].IN1
phy_ddio_cs_n[0] => phy_ddio_cs_n[0].IN1
phy_ddio_cs_n[1] => phy_ddio_cs_n[1].IN1
phy_ddio_cs_n[2] => phy_ddio_cs_n[2].IN1
phy_ddio_cs_n[3] => phy_ddio_cs_n[3].IN1
phy_ddio_cke[0] => phy_ddio_cke[0].IN1
phy_ddio_cke[1] => phy_ddio_cke[1].IN1
phy_ddio_cke[2] => phy_ddio_cke[2].IN1
phy_ddio_cke[3] => phy_ddio_cke[3].IN1
phy_ddio_odt[0] => phy_ddio_odt[0].IN1
phy_ddio_odt[1] => phy_ddio_odt[1].IN1
phy_ddio_odt[2] => phy_ddio_odt[2].IN1
phy_ddio_odt[3] => phy_ddio_odt[3].IN1
phy_ddio_we_n[0] => phy_ddio_we_n[0].IN1
phy_ddio_we_n[1] => phy_ddio_we_n[1].IN1
phy_ddio_we_n[2] => phy_ddio_we_n[2].IN1
phy_ddio_we_n[3] => phy_ddio_we_n[3].IN1
phy_ddio_ras_n[0] => phy_ddio_ras_n[0].IN1
phy_ddio_ras_n[1] => phy_ddio_ras_n[1].IN1
phy_ddio_ras_n[2] => phy_ddio_ras_n[2].IN1
phy_ddio_ras_n[3] => phy_ddio_ras_n[3].IN1
phy_ddio_cas_n[0] => phy_ddio_cas_n[0].IN1
phy_ddio_cas_n[1] => phy_ddio_cas_n[1].IN1
phy_ddio_cas_n[2] => phy_ddio_cas_n[2].IN1
phy_ddio_cas_n[3] => phy_ddio_cas_n[3].IN1
phy_ddio_ck[0] => clock_gen[0].mem_ck_hi.IN1
phy_ddio_ck[1] => clock_gen[0].mem_ck_lo.IN1
phy_ddio_ck[2] => ~NO_FANOUT~
phy_ddio_ck[3] => ~NO_FANOUT~
phy_ddio_reset_n[0] => phy_ddio_reset_n[0].IN1
phy_ddio_reset_n[1] => phy_ddio_reset_n[1].IN1
phy_ddio_reset_n[2] => phy_ddio_reset_n[2].IN1
phy_ddio_reset_n[3] => phy_ddio_reset_n[3].IN1
phy_mem_address[0] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[1] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[2] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[3] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[4] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[5] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[6] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[7] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[8] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[9] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[10] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[11] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_address[12] <= hps_sdram_p0_generic_ddio:uaddress_pad.dataout
phy_mem_bank[0] <= hps_sdram_p0_generic_ddio:ubank_pad.dataout
phy_mem_bank[1] <= hps_sdram_p0_generic_ddio:ubank_pad.dataout
phy_mem_bank[2] <= hps_sdram_p0_generic_ddio:ubank_pad.dataout
phy_mem_cs_n[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_cke[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_odt[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_we_n[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_ras_n[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_cas_n[0] <= hps_sdram_p0_generic_ddio:ucmd_pad.dataout
phy_mem_reset_n <= hps_sdram_p0_generic_ddio:ureset_n_pad.dataout
phy_mem_ck[0] <= hps_sdram_p0_clock_pair_generator:clock_gen[0].uclk_generator.dataout
phy_mem_ck_n[0] <= hps_sdram_p0_clock_pair_generator:clock_gen[0].uclk_generator.dataout_b


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[0].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[1].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[2].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[3].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[4].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[5].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[6].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[7].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[8].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[9].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[10].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[11].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[12].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[13].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[14].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[15].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[16].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[17].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[18].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[19].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[20].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[21].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_acv_ldc:address_gen[22].acv_ac_ldc
pll_hr_clk => leveling_delay_chain_hr.I_CLK_IN
pll_dq_clk => ~NO_FANOUT~
pll_dqs_clk => leveling_delay_chain_dqs.I_CLK_IN
dll_phy_delayctrl[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_phy_delayctrl[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_phy_delayctrl[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_phy_delayctrl[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_phy_delayctrl[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_phy_delayctrl[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_phy_delayctrl[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
afi_clk <= leveling_delay_chain_dqs.CLKOUT
avl_clk <= leveling_delay_chain_hr.CLKOUT
adc_clk <= leveling_delay_chain_dqs.CLKOUT
adc_clk_cps <= clk_phase_select_addr_cmd.CLKOUT
hr_clk <= clk_phase_select_hr.CLKOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_generic_ddio:uaddress_pad
datain[0] => acblock[0].hr_to_fr_hi.DATAINHI
datain[1] => acblock[0].hr_to_fr_lo.DATAINHI
datain[2] => acblock[0].hr_to_fr_hi.DATAINLO
datain[3] => acblock[0].hr_to_fr_lo.DATAINLO
datain[4] => acblock[1].hr_to_fr_hi.DATAINHI
datain[5] => acblock[1].hr_to_fr_lo.DATAINHI
datain[6] => acblock[1].hr_to_fr_hi.DATAINLO
datain[7] => acblock[1].hr_to_fr_lo.DATAINLO
datain[8] => acblock[2].hr_to_fr_hi.DATAINHI
datain[9] => acblock[2].hr_to_fr_lo.DATAINHI
datain[10] => acblock[2].hr_to_fr_hi.DATAINLO
datain[11] => acblock[2].hr_to_fr_lo.DATAINLO
datain[12] => acblock[3].hr_to_fr_hi.DATAINHI
datain[13] => acblock[3].hr_to_fr_lo.DATAINHI
datain[14] => acblock[3].hr_to_fr_hi.DATAINLO
datain[15] => acblock[3].hr_to_fr_lo.DATAINLO
datain[16] => acblock[4].hr_to_fr_hi.DATAINHI
datain[17] => acblock[4].hr_to_fr_lo.DATAINHI
datain[18] => acblock[4].hr_to_fr_hi.DATAINLO
datain[19] => acblock[4].hr_to_fr_lo.DATAINLO
datain[20] => acblock[5].hr_to_fr_hi.DATAINHI
datain[21] => acblock[5].hr_to_fr_lo.DATAINHI
datain[22] => acblock[5].hr_to_fr_hi.DATAINLO
datain[23] => acblock[5].hr_to_fr_lo.DATAINLO
datain[24] => acblock[6].hr_to_fr_hi.DATAINHI
datain[25] => acblock[6].hr_to_fr_lo.DATAINHI
datain[26] => acblock[6].hr_to_fr_hi.DATAINLO
datain[27] => acblock[6].hr_to_fr_lo.DATAINLO
datain[28] => acblock[7].hr_to_fr_hi.DATAINHI
datain[29] => acblock[7].hr_to_fr_lo.DATAINHI
datain[30] => acblock[7].hr_to_fr_hi.DATAINLO
datain[31] => acblock[7].hr_to_fr_lo.DATAINLO
datain[32] => acblock[8].hr_to_fr_hi.DATAINHI
datain[33] => acblock[8].hr_to_fr_lo.DATAINHI
datain[34] => acblock[8].hr_to_fr_hi.DATAINLO
datain[35] => acblock[8].hr_to_fr_lo.DATAINLO
datain[36] => acblock[9].hr_to_fr_hi.DATAINHI
datain[37] => acblock[9].hr_to_fr_lo.DATAINHI
datain[38] => acblock[9].hr_to_fr_hi.DATAINLO
datain[39] => acblock[9].hr_to_fr_lo.DATAINLO
datain[40] => acblock[10].hr_to_fr_hi.DATAINHI
datain[41] => acblock[10].hr_to_fr_lo.DATAINHI
datain[42] => acblock[10].hr_to_fr_hi.DATAINLO
datain[43] => acblock[10].hr_to_fr_lo.DATAINLO
datain[44] => acblock[11].hr_to_fr_hi.DATAINHI
datain[45] => acblock[11].hr_to_fr_lo.DATAINHI
datain[46] => acblock[11].hr_to_fr_hi.DATAINLO
datain[47] => acblock[11].hr_to_fr_lo.DATAINLO
datain[48] => acblock[12].hr_to_fr_hi.DATAINHI
datain[49] => acblock[12].hr_to_fr_lo.DATAINHI
datain[50] => acblock[12].hr_to_fr_hi.DATAINLO
datain[51] => acblock[12].hr_to_fr_lo.DATAINLO
halfratebypass => acblock[0].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[0].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[3].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[3].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[4].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[4].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[5].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[5].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[6].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[6].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[7].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[7].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[8].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[8].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[9].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[9].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[10].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[10].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[11].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[11].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[12].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[12].hr_to_fr_lo.HRBYPASS
dataout[0] <= acblock[0].ddio_out.DATAOUT
dataout[1] <= acblock[1].ddio_out.DATAOUT
dataout[2] <= acblock[2].ddio_out.DATAOUT
dataout[3] <= acblock[3].ddio_out.DATAOUT
dataout[4] <= acblock[4].ddio_out.DATAOUT
dataout[5] <= acblock[5].ddio_out.DATAOUT
dataout[6] <= acblock[6].ddio_out.DATAOUT
dataout[7] <= acblock[7].ddio_out.DATAOUT
dataout[8] <= acblock[8].ddio_out.DATAOUT
dataout[9] <= acblock[9].ddio_out.DATAOUT
dataout[10] <= acblock[10].ddio_out.DATAOUT
dataout[11] <= acblock[11].ddio_out.DATAOUT
dataout[12] <= acblock[12].ddio_out.DATAOUT
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_hi.MUXSEL
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_lo.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_hi.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_lo.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_hi.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_lo.MUXSEL
clk_hr[3] => acblock[3].hr_to_fr_hi.CLKHI
clk_hr[3] => acblock[3].hr_to_fr_hi.CLKLO
clk_hr[3] => acblock[3].hr_to_fr_hi.MUXSEL
clk_hr[3] => acblock[3].hr_to_fr_lo.CLKHI
clk_hr[3] => acblock[3].hr_to_fr_lo.CLKLO
clk_hr[3] => acblock[3].hr_to_fr_lo.MUXSEL
clk_hr[4] => acblock[4].hr_to_fr_hi.CLKHI
clk_hr[4] => acblock[4].hr_to_fr_hi.CLKLO
clk_hr[4] => acblock[4].hr_to_fr_hi.MUXSEL
clk_hr[4] => acblock[4].hr_to_fr_lo.CLKHI
clk_hr[4] => acblock[4].hr_to_fr_lo.CLKLO
clk_hr[4] => acblock[4].hr_to_fr_lo.MUXSEL
clk_hr[5] => acblock[5].hr_to_fr_hi.CLKHI
clk_hr[5] => acblock[5].hr_to_fr_hi.CLKLO
clk_hr[5] => acblock[5].hr_to_fr_hi.MUXSEL
clk_hr[5] => acblock[5].hr_to_fr_lo.CLKHI
clk_hr[5] => acblock[5].hr_to_fr_lo.CLKLO
clk_hr[5] => acblock[5].hr_to_fr_lo.MUXSEL
clk_hr[6] => acblock[6].hr_to_fr_hi.CLKHI
clk_hr[6] => acblock[6].hr_to_fr_hi.CLKLO
clk_hr[6] => acblock[6].hr_to_fr_hi.MUXSEL
clk_hr[6] => acblock[6].hr_to_fr_lo.CLKHI
clk_hr[6] => acblock[6].hr_to_fr_lo.CLKLO
clk_hr[6] => acblock[6].hr_to_fr_lo.MUXSEL
clk_hr[7] => acblock[7].hr_to_fr_hi.CLKHI
clk_hr[7] => acblock[7].hr_to_fr_hi.CLKLO
clk_hr[7] => acblock[7].hr_to_fr_hi.MUXSEL
clk_hr[7] => acblock[7].hr_to_fr_lo.CLKHI
clk_hr[7] => acblock[7].hr_to_fr_lo.CLKLO
clk_hr[7] => acblock[7].hr_to_fr_lo.MUXSEL
clk_hr[8] => acblock[8].hr_to_fr_hi.CLKHI
clk_hr[8] => acblock[8].hr_to_fr_hi.CLKLO
clk_hr[8] => acblock[8].hr_to_fr_hi.MUXSEL
clk_hr[8] => acblock[8].hr_to_fr_lo.CLKHI
clk_hr[8] => acblock[8].hr_to_fr_lo.CLKLO
clk_hr[8] => acblock[8].hr_to_fr_lo.MUXSEL
clk_hr[9] => acblock[9].hr_to_fr_hi.CLKHI
clk_hr[9] => acblock[9].hr_to_fr_hi.CLKLO
clk_hr[9] => acblock[9].hr_to_fr_hi.MUXSEL
clk_hr[9] => acblock[9].hr_to_fr_lo.CLKHI
clk_hr[9] => acblock[9].hr_to_fr_lo.CLKLO
clk_hr[9] => acblock[9].hr_to_fr_lo.MUXSEL
clk_hr[10] => acblock[10].hr_to_fr_hi.CLKHI
clk_hr[10] => acblock[10].hr_to_fr_hi.CLKLO
clk_hr[10] => acblock[10].hr_to_fr_hi.MUXSEL
clk_hr[10] => acblock[10].hr_to_fr_lo.CLKHI
clk_hr[10] => acblock[10].hr_to_fr_lo.CLKLO
clk_hr[10] => acblock[10].hr_to_fr_lo.MUXSEL
clk_hr[11] => acblock[11].hr_to_fr_hi.CLKHI
clk_hr[11] => acblock[11].hr_to_fr_hi.CLKLO
clk_hr[11] => acblock[11].hr_to_fr_hi.MUXSEL
clk_hr[11] => acblock[11].hr_to_fr_lo.CLKHI
clk_hr[11] => acblock[11].hr_to_fr_lo.CLKLO
clk_hr[11] => acblock[11].hr_to_fr_lo.MUXSEL
clk_hr[12] => acblock[12].hr_to_fr_hi.CLKHI
clk_hr[12] => acblock[12].hr_to_fr_hi.CLKLO
clk_hr[12] => acblock[12].hr_to_fr_hi.MUXSEL
clk_hr[12] => acblock[12].hr_to_fr_lo.CLKHI
clk_hr[12] => acblock[12].hr_to_fr_lo.CLKLO
clk_hr[12] => acblock[12].hr_to_fr_lo.MUXSEL
clk_fr[0] => acblock[0].ddio_out.CLKHI
clk_fr[0] => acblock[0].ddio_out.CLKLO
clk_fr[0] => acblock[0].ddio_out.MUXSEL
clk_fr[1] => acblock[1].ddio_out.CLKHI
clk_fr[1] => acblock[1].ddio_out.CLKLO
clk_fr[1] => acblock[1].ddio_out.MUXSEL
clk_fr[2] => acblock[2].ddio_out.CLKHI
clk_fr[2] => acblock[2].ddio_out.CLKLO
clk_fr[2] => acblock[2].ddio_out.MUXSEL
clk_fr[3] => acblock[3].ddio_out.CLKHI
clk_fr[3] => acblock[3].ddio_out.CLKLO
clk_fr[3] => acblock[3].ddio_out.MUXSEL
clk_fr[4] => acblock[4].ddio_out.CLKHI
clk_fr[4] => acblock[4].ddio_out.CLKLO
clk_fr[4] => acblock[4].ddio_out.MUXSEL
clk_fr[5] => acblock[5].ddio_out.CLKHI
clk_fr[5] => acblock[5].ddio_out.CLKLO
clk_fr[5] => acblock[5].ddio_out.MUXSEL
clk_fr[6] => acblock[6].ddio_out.CLKHI
clk_fr[6] => acblock[6].ddio_out.CLKLO
clk_fr[6] => acblock[6].ddio_out.MUXSEL
clk_fr[7] => acblock[7].ddio_out.CLKHI
clk_fr[7] => acblock[7].ddio_out.CLKLO
clk_fr[7] => acblock[7].ddio_out.MUXSEL
clk_fr[8] => acblock[8].ddio_out.CLKHI
clk_fr[8] => acblock[8].ddio_out.CLKLO
clk_fr[8] => acblock[8].ddio_out.MUXSEL
clk_fr[9] => acblock[9].ddio_out.CLKHI
clk_fr[9] => acblock[9].ddio_out.CLKLO
clk_fr[9] => acblock[9].ddio_out.MUXSEL
clk_fr[10] => acblock[10].ddio_out.CLKHI
clk_fr[10] => acblock[10].ddio_out.CLKLO
clk_fr[10] => acblock[10].ddio_out.MUXSEL
clk_fr[11] => acblock[11].ddio_out.CLKHI
clk_fr[11] => acblock[11].ddio_out.CLKLO
clk_fr[11] => acblock[11].ddio_out.MUXSEL
clk_fr[12] => acblock[12].ddio_out.CLKHI
clk_fr[12] => acblock[12].ddio_out.CLKLO
clk_fr[12] => acblock[12].ddio_out.MUXSEL


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_generic_ddio:ubank_pad
datain[0] => acblock[0].hr_to_fr_hi.DATAINHI
datain[1] => acblock[0].hr_to_fr_lo.DATAINHI
datain[2] => acblock[0].hr_to_fr_hi.DATAINLO
datain[3] => acblock[0].hr_to_fr_lo.DATAINLO
datain[4] => acblock[1].hr_to_fr_hi.DATAINHI
datain[5] => acblock[1].hr_to_fr_lo.DATAINHI
datain[6] => acblock[1].hr_to_fr_hi.DATAINLO
datain[7] => acblock[1].hr_to_fr_lo.DATAINLO
datain[8] => acblock[2].hr_to_fr_hi.DATAINHI
datain[9] => acblock[2].hr_to_fr_lo.DATAINHI
datain[10] => acblock[2].hr_to_fr_hi.DATAINLO
datain[11] => acblock[2].hr_to_fr_lo.DATAINLO
halfratebypass => acblock[0].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[0].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_lo.HRBYPASS
dataout[0] <= acblock[0].ddio_out.DATAOUT
dataout[1] <= acblock[1].ddio_out.DATAOUT
dataout[2] <= acblock[2].ddio_out.DATAOUT
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_hi.MUXSEL
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_lo.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_hi.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_lo.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_hi.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_lo.MUXSEL
clk_fr[0] => acblock[0].ddio_out.CLKHI
clk_fr[0] => acblock[0].ddio_out.CLKLO
clk_fr[0] => acblock[0].ddio_out.MUXSEL
clk_fr[1] => acblock[1].ddio_out.CLKHI
clk_fr[1] => acblock[1].ddio_out.CLKLO
clk_fr[1] => acblock[1].ddio_out.MUXSEL
clk_fr[2] => acblock[2].ddio_out.CLKHI
clk_fr[2] => acblock[2].ddio_out.CLKLO
clk_fr[2] => acblock[2].ddio_out.MUXSEL


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_generic_ddio:ucmd_pad
datain[0] => acblock[0].hr_to_fr_hi.DATAINHI
datain[1] => acblock[0].hr_to_fr_lo.DATAINHI
datain[2] => acblock[0].hr_to_fr_hi.DATAINLO
datain[3] => acblock[0].hr_to_fr_lo.DATAINLO
datain[4] => acblock[1].hr_to_fr_hi.DATAINHI
datain[5] => acblock[1].hr_to_fr_lo.DATAINHI
datain[6] => acblock[1].hr_to_fr_hi.DATAINLO
datain[7] => acblock[1].hr_to_fr_lo.DATAINLO
datain[8] => acblock[2].hr_to_fr_hi.DATAINHI
datain[9] => acblock[2].hr_to_fr_lo.DATAINHI
datain[10] => acblock[2].hr_to_fr_hi.DATAINLO
datain[11] => acblock[2].hr_to_fr_lo.DATAINLO
datain[12] => acblock[3].hr_to_fr_hi.DATAINHI
datain[13] => acblock[3].hr_to_fr_lo.DATAINHI
datain[14] => acblock[3].hr_to_fr_hi.DATAINLO
datain[15] => acblock[3].hr_to_fr_lo.DATAINLO
datain[16] => acblock[4].hr_to_fr_hi.DATAINHI
datain[17] => acblock[4].hr_to_fr_lo.DATAINHI
datain[18] => acblock[4].hr_to_fr_hi.DATAINLO
datain[19] => acblock[4].hr_to_fr_lo.DATAINLO
datain[20] => acblock[5].hr_to_fr_hi.DATAINHI
datain[21] => acblock[5].hr_to_fr_lo.DATAINHI
datain[22] => acblock[5].hr_to_fr_hi.DATAINLO
datain[23] => acblock[5].hr_to_fr_lo.DATAINLO
halfratebypass => acblock[0].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[0].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[1].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[2].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[3].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[3].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[4].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[4].hr_to_fr_lo.HRBYPASS
halfratebypass => acblock[5].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[5].hr_to_fr_lo.HRBYPASS
dataout[0] <= acblock[0].ddio_out.DATAOUT
dataout[1] <= acblock[1].ddio_out.DATAOUT
dataout[2] <= acblock[2].ddio_out.DATAOUT
dataout[3] <= acblock[3].ddio_out.DATAOUT
dataout[4] <= acblock[4].ddio_out.DATAOUT
dataout[5] <= acblock[5].ddio_out.DATAOUT
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_hi.MUXSEL
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_lo.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_hi.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_hi.MUXSEL
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKHI
clk_hr[1] => acblock[1].hr_to_fr_lo.CLKLO
clk_hr[1] => acblock[1].hr_to_fr_lo.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_hi.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_hi.MUXSEL
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKHI
clk_hr[2] => acblock[2].hr_to_fr_lo.CLKLO
clk_hr[2] => acblock[2].hr_to_fr_lo.MUXSEL
clk_hr[3] => acblock[3].hr_to_fr_hi.CLKHI
clk_hr[3] => acblock[3].hr_to_fr_hi.CLKLO
clk_hr[3] => acblock[3].hr_to_fr_hi.MUXSEL
clk_hr[3] => acblock[3].hr_to_fr_lo.CLKHI
clk_hr[3] => acblock[3].hr_to_fr_lo.CLKLO
clk_hr[3] => acblock[3].hr_to_fr_lo.MUXSEL
clk_hr[4] => acblock[4].hr_to_fr_hi.CLKHI
clk_hr[4] => acblock[4].hr_to_fr_hi.CLKLO
clk_hr[4] => acblock[4].hr_to_fr_hi.MUXSEL
clk_hr[4] => acblock[4].hr_to_fr_lo.CLKHI
clk_hr[4] => acblock[4].hr_to_fr_lo.CLKLO
clk_hr[4] => acblock[4].hr_to_fr_lo.MUXSEL
clk_hr[5] => acblock[5].hr_to_fr_hi.CLKHI
clk_hr[5] => acblock[5].hr_to_fr_hi.CLKLO
clk_hr[5] => acblock[5].hr_to_fr_hi.MUXSEL
clk_hr[5] => acblock[5].hr_to_fr_lo.CLKHI
clk_hr[5] => acblock[5].hr_to_fr_lo.CLKLO
clk_hr[5] => acblock[5].hr_to_fr_lo.MUXSEL
clk_fr[0] => acblock[0].ddio_out.CLKHI
clk_fr[0] => acblock[0].ddio_out.CLKLO
clk_fr[0] => acblock[0].ddio_out.MUXSEL
clk_fr[1] => acblock[1].ddio_out.CLKHI
clk_fr[1] => acblock[1].ddio_out.CLKLO
clk_fr[1] => acblock[1].ddio_out.MUXSEL
clk_fr[2] => acblock[2].ddio_out.CLKHI
clk_fr[2] => acblock[2].ddio_out.CLKLO
clk_fr[2] => acblock[2].ddio_out.MUXSEL
clk_fr[3] => acblock[3].ddio_out.CLKHI
clk_fr[3] => acblock[3].ddio_out.CLKLO
clk_fr[3] => acblock[3].ddio_out.MUXSEL
clk_fr[4] => acblock[4].ddio_out.CLKHI
clk_fr[4] => acblock[4].ddio_out.CLKLO
clk_fr[4] => acblock[4].ddio_out.MUXSEL
clk_fr[5] => acblock[5].ddio_out.CLKHI
clk_fr[5] => acblock[5].ddio_out.CLKLO
clk_fr[5] => acblock[5].ddio_out.MUXSEL


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_generic_ddio:ureset_n_pad
datain[0] => acblock[0].hr_to_fr_hi.DATAINHI
datain[1] => acblock[0].hr_to_fr_lo.DATAINHI
datain[2] => acblock[0].hr_to_fr_hi.DATAINLO
datain[3] => acblock[0].hr_to_fr_lo.DATAINLO
halfratebypass => acblock[0].hr_to_fr_hi.HRBYPASS
halfratebypass => acblock[0].hr_to_fr_lo.HRBYPASS
dataout[0] <= acblock[0].ddio_out.DATAOUT
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_hi.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_hi.MUXSEL
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKHI
clk_hr[0] => acblock[0].hr_to_fr_lo.CLKLO
clk_hr[0] => acblock[0].hr_to_fr_lo.MUXSEL
clk_fr[0] => acblock[0].ddio_out.CLKHI
clk_fr[0] => acblock[0].ddio_out.CLKLO
clk_fr[0] => acblock[0].ddio_out.MUXSEL


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|altddio_out:clock_gen[0].umem_ck_pad
datain_h[0] => ddio_out_uqe:auto_generated.datain_h[0]
datain_l[0] => ddio_out_uqe:auto_generated.datain_l[0]
outclock => ddio_out_uqe:auto_generated.outclock
outclocken => ~NO_FANOUT~
aclr => ~NO_FANOUT~
aset => ~NO_FANOUT~
sclr => ~NO_FANOUT~
sset => ~NO_FANOUT~
oe => ~NO_FANOUT~
dataout[0] <> ddio_out_uqe:auto_generated.dataout[0]
oe_out[0] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|altddio_out:clock_gen[0].umem_ck_pad|ddio_out_uqe:auto_generated
datain_h[0] => ddio_outa[0].DATAINHI
datain_l[0] => ddio_outa[0].DATAINLO
dataout[0] <= ddio_outa[0].DATAOUT
outclock => ddio_outa[0].CLKHI
outclock => ddio_outa[0].CLKLO
outclock => ddio_outa[0].MUXSEL


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_acv_hard_addr_cmd_pads:uaddr_cmd_pads|hps_sdram_p0_clock_pair_generator:clock_gen[0].uclk_generator
datain[0] => pseudo_diffa_0.DATA
dataout[0] <= obufa_0.OUT
dataout_b[0] <= obuf_ba_0.OUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs
core_clock_in => core_clock_in.IN1
reset_n_core_clock_in => reset_n_core_clock_in.IN1
fr_clock_in => fr_clock_in.IN1
hr_clock_in => hr_clock_in.IN1
write_strobe_clock_in => write_strobe_clock_in.IN1
write_strobe[0] => write_strobe[0].IN1
write_strobe[1] => write_strobe[1].IN1
write_strobe[2] => write_strobe[2].IN1
write_strobe[3] => write_strobe[3].IN1
strobe_ena_hr_clock_in => strobe_ena_hr_clock_in.IN1
capture_strobe_tracking <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.capture_strobe_tracking
read_write_data_io[0] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[1] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[2] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[3] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[4] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[5] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[6] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
read_write_data_io[7] <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_write_data_io
write_oe_in[0] => write_oe_in[0].IN1
write_oe_in[1] => write_oe_in[1].IN1
write_oe_in[2] => write_oe_in[2].IN1
write_oe_in[3] => write_oe_in[3].IN1
write_oe_in[4] => write_oe_in[4].IN1
write_oe_in[5] => write_oe_in[5].IN1
write_oe_in[6] => write_oe_in[6].IN1
write_oe_in[7] => write_oe_in[7].IN1
write_oe_in[8] => write_oe_in[8].IN1
write_oe_in[9] => write_oe_in[9].IN1
write_oe_in[10] => write_oe_in[10].IN1
write_oe_in[11] => write_oe_in[11].IN1
write_oe_in[12] => write_oe_in[12].IN1
write_oe_in[13] => write_oe_in[13].IN1
write_oe_in[14] => write_oe_in[14].IN1
write_oe_in[15] => write_oe_in[15].IN1
strobe_io <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.strobe_io
output_strobe_ena[0] => output_strobe_ena[0].IN1
output_strobe_ena[1] => output_strobe_ena[1].IN1
strobe_n_io <> altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.strobe_n_io
oct_ena_in[0] => oct_ena_in[0].IN1
oct_ena_in[1] => oct_ena_in[1].IN1
read_data_out[0] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[1] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[2] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[3] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[4] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[5] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[6] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[7] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[8] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[9] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[10] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[11] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[12] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[13] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[14] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[15] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[16] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[17] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[18] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[19] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[20] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[21] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[22] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[23] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[24] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[25] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[26] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[27] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[28] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[29] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[30] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
read_data_out[31] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.read_data_out
capture_strobe_out <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.capture_strobe_out
write_data_in[0] => write_data_in[0].IN1
write_data_in[1] => write_data_in[1].IN1
write_data_in[2] => write_data_in[2].IN1
write_data_in[3] => write_data_in[3].IN1
write_data_in[4] => write_data_in[4].IN1
write_data_in[5] => write_data_in[5].IN1
write_data_in[6] => write_data_in[6].IN1
write_data_in[7] => write_data_in[7].IN1
write_data_in[8] => write_data_in[8].IN1
write_data_in[9] => write_data_in[9].IN1
write_data_in[10] => write_data_in[10].IN1
write_data_in[11] => write_data_in[11].IN1
write_data_in[12] => write_data_in[12].IN1
write_data_in[13] => write_data_in[13].IN1
write_data_in[14] => write_data_in[14].IN1
write_data_in[15] => write_data_in[15].IN1
write_data_in[16] => write_data_in[16].IN1
write_data_in[17] => write_data_in[17].IN1
write_data_in[18] => write_data_in[18].IN1
write_data_in[19] => write_data_in[19].IN1
write_data_in[20] => write_data_in[20].IN1
write_data_in[21] => write_data_in[21].IN1
write_data_in[22] => write_data_in[22].IN1
write_data_in[23] => write_data_in[23].IN1
write_data_in[24] => write_data_in[24].IN1
write_data_in[25] => write_data_in[25].IN1
write_data_in[26] => write_data_in[26].IN1
write_data_in[27] => write_data_in[27].IN1
write_data_in[28] => write_data_in[28].IN1
write_data_in[29] => write_data_in[29].IN1
write_data_in[30] => write_data_in[30].IN1
write_data_in[31] => write_data_in[31].IN1
extra_write_data_in[0] => extra_write_data_in[0].IN1
extra_write_data_in[1] => extra_write_data_in[1].IN1
extra_write_data_in[2] => extra_write_data_in[2].IN1
extra_write_data_in[3] => extra_write_data_in[3].IN1
extra_write_data_out[0] <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.extra_write_data_out
parallelterminationcontrol_in[0] => parallelterminationcontrol_in[0].IN1
parallelterminationcontrol_in[1] => parallelterminationcontrol_in[1].IN1
parallelterminationcontrol_in[2] => parallelterminationcontrol_in[2].IN1
parallelterminationcontrol_in[3] => parallelterminationcontrol_in[3].IN1
parallelterminationcontrol_in[4] => parallelterminationcontrol_in[4].IN1
parallelterminationcontrol_in[5] => parallelterminationcontrol_in[5].IN1
parallelterminationcontrol_in[6] => parallelterminationcontrol_in[6].IN1
parallelterminationcontrol_in[7] => parallelterminationcontrol_in[7].IN1
parallelterminationcontrol_in[8] => parallelterminationcontrol_in[8].IN1
parallelterminationcontrol_in[9] => parallelterminationcontrol_in[9].IN1
parallelterminationcontrol_in[10] => parallelterminationcontrol_in[10].IN1
parallelterminationcontrol_in[11] => parallelterminationcontrol_in[11].IN1
parallelterminationcontrol_in[12] => parallelterminationcontrol_in[12].IN1
parallelterminationcontrol_in[13] => parallelterminationcontrol_in[13].IN1
parallelterminationcontrol_in[14] => parallelterminationcontrol_in[14].IN1
parallelterminationcontrol_in[15] => parallelterminationcontrol_in[15].IN1
seriesterminationcontrol_in[0] => seriesterminationcontrol_in[0].IN1
seriesterminationcontrol_in[1] => seriesterminationcontrol_in[1].IN1
seriesterminationcontrol_in[2] => seriesterminationcontrol_in[2].IN1
seriesterminationcontrol_in[3] => seriesterminationcontrol_in[3].IN1
seriesterminationcontrol_in[4] => seriesterminationcontrol_in[4].IN1
seriesterminationcontrol_in[5] => seriesterminationcontrol_in[5].IN1
seriesterminationcontrol_in[6] => seriesterminationcontrol_in[6].IN1
seriesterminationcontrol_in[7] => seriesterminationcontrol_in[7].IN1
seriesterminationcontrol_in[8] => seriesterminationcontrol_in[8].IN1
seriesterminationcontrol_in[9] => seriesterminationcontrol_in[9].IN1
seriesterminationcontrol_in[10] => seriesterminationcontrol_in[10].IN1
seriesterminationcontrol_in[11] => seriesterminationcontrol_in[11].IN1
seriesterminationcontrol_in[12] => seriesterminationcontrol_in[12].IN1
seriesterminationcontrol_in[13] => seriesterminationcontrol_in[13].IN1
seriesterminationcontrol_in[14] => seriesterminationcontrol_in[14].IN1
seriesterminationcontrol_in[15] => seriesterminationcontrol_in[15].IN1
config_data_in => config_data_in.IN1
config_update => config_update.IN1
config_dqs_ena => config_dqs_ena.IN1
config_io_ena[0] => config_io_ena[0].IN1
config_io_ena[1] => config_io_ena[1].IN1
config_io_ena[2] => config_io_ena[2].IN1
config_io_ena[3] => config_io_ena[3].IN1
config_io_ena[4] => config_io_ena[4].IN1
config_io_ena[5] => config_io_ena[5].IN1
config_io_ena[6] => config_io_ena[6].IN1
config_io_ena[7] => config_io_ena[7].IN1
config_extra_io_ena[0] => config_extra_io_ena[0].IN1
config_dqs_io_ena => config_dqs_io_ena.IN1
config_clock_in => config_clock_in.IN1
lfifo_rdata_en[0] => lfifo_rdata_en[0].IN1
lfifo_rdata_en[1] => lfifo_rdata_en[1].IN1
lfifo_rdata_en_full[0] => lfifo_rdata_en_full[0].IN1
lfifo_rdata_en_full[1] => lfifo_rdata_en_full[1].IN1
lfifo_rd_latency[0] => lfifo_rd_latency[0].IN1
lfifo_rd_latency[1] => lfifo_rd_latency[1].IN1
lfifo_rd_latency[2] => lfifo_rd_latency[2].IN1
lfifo_rd_latency[3] => lfifo_rd_latency[3].IN1
lfifo_rd_latency[4] => lfifo_rd_latency[4].IN1
lfifo_reset_n => lfifo_reset_n.IN1
lfifo_rdata_valid <= altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst.lfifo_rdata_valid
vfifo_qvld[0] => vfifo_qvld[0].IN1
vfifo_qvld[1] => vfifo_qvld[1].IN1
vfifo_inc_wr_ptr[0] => vfifo_inc_wr_ptr[0].IN1
vfifo_inc_wr_ptr[1] => vfifo_inc_wr_ptr[1].IN1
vfifo_reset_n => vfifo_reset_n.IN1
rfifo_reset_n => rfifo_reset_n.IN1
dll_delayctrl_in[0] => dll_delayctrl_in[0].IN1
dll_delayctrl_in[1] => dll_delayctrl_in[1].IN1
dll_delayctrl_in[2] => dll_delayctrl_in[2].IN1
dll_delayctrl_in[3] => dll_delayctrl_in[3].IN1
dll_delayctrl_in[4] => dll_delayctrl_in[4].IN1
dll_delayctrl_in[5] => dll_delayctrl_in[5].IN1
dll_delayctrl_in[6] => dll_delayctrl_in[6].IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|hps_sdram_p0:p0|hps_sdram_p0_acv_hard_memphy:umemphy|hps_sdram_p0_acv_hard_io_pads:uio_pads|hps_sdram_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_connect_to_hard_phy_cyclonev:altdq_dqs2_inst
dll_delayctrl_in[0] => leveling_delay_chain_dqs.DELAYCTRLIN
dll_delayctrl_in[0] => dqs_delay_chain.DELAYCTRLIN
dll_delayctrl_in[1] => leveling_delay_chain_dqs.DELAYCTRLIN1
dll_delayctrl_in[1] => dqs_delay_chain.DELAYCTRLIN1
dll_delayctrl_in[2] => leveling_delay_chain_dqs.DELAYCTRLIN2
dll_delayctrl_in[2] => dqs_delay_chain.DELAYCTRLIN2
dll_delayctrl_in[3] => leveling_delay_chain_dqs.DELAYCTRLIN3
dll_delayctrl_in[3] => dqs_delay_chain.DELAYCTRLIN3
dll_delayctrl_in[4] => leveling_delay_chain_dqs.DELAYCTRLIN4
dll_delayctrl_in[4] => dqs_delay_chain.DELAYCTRLIN4
dll_delayctrl_in[5] => leveling_delay_chain_dqs.DELAYCTRLIN5
dll_delayctrl_in[5] => dqs_delay_chain.DELAYCTRLIN5
dll_delayctrl_in[6] => leveling_delay_chain_dqs.DELAYCTRLIN6
dll_delayctrl_in[6] => dqs_delay_chain.DELAYCTRLIN6
dll_offsetdelay_in[0] => ~NO_FANOUT~
dll_offsetdelay_in[1] => ~NO_FANOUT~
dll_offsetdelay_in[2] => ~NO_FANOUT~
dll_offsetdelay_in[3] => ~NO_FANOUT~
dll_offsetdelay_in[4] => ~NO_FANOUT~
dll_offsetdelay_in[5] => ~NO_FANOUT~
dll_offsetdelay_in[6] => ~NO_FANOUT~
capture_strobe_in => ~NO_FANOUT~
capture_strobe_n_in => ~NO_FANOUT~
capture_strobe_ena[0] => ~NO_FANOUT~
capture_strobe_out <= <GND>
output_strobe_ena[0] => hr_to_fr_os_oe.DATAINHI
output_strobe_ena[1] => hr_to_fr_os_oe.DATAINLO
output_strobe_out <= <GND>
output_strobe_n_out <= <VCC>
oct_ena_in[0] => hr_to_fr_os_oct.DATAINHI
oct_ena_in[1] => hr_to_fr_os_oct.DATAINLO
strobe_io <> obuf_os_0
strobe_n_io <> obuf_os_bar_0
core_clock_in => ~NO_FANOUT~
fr_clock_in => leveling_delay_chain_dq.I_CLK_IN
hr_clock_in => leveling_delay_chain_hr.I_CLK_IN
dr_clock_in => ~NO_FANOUT~
strobe_ena_hr_clock_in => ~NO_FANOUT~
write_strobe_clock_in => leveling_delay_chain_dqs.I_CLK_IN
write_strobe[0] => hr_to_fr_os_hi.DATAINHI
write_strobe[1] => hr_to_fr_os_lo.DATAINHI
write_strobe[2] => hr_to_fr_os_hi.DATAINLO
write_strobe[3] => hr_to_fr_os_lo.DATAINLO
reset_n_core_clock_in => ~NO_FANOUT~
parallelterminationcontrol_in[0] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => obuf_os_0.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[0] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN
parallelterminationcontrol_in[1] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => obuf_os_0.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[1] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN1
parallelterminationcontrol_in[2] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => obuf_os_0.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[2] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN2
parallelterminationcontrol_in[3] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => obuf_os_0.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[3] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN3
parallelterminationcontrol_in[4] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => obuf_os_0.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[4] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN4
parallelterminationcontrol_in[5] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => obuf_os_0.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[5] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN5
parallelterminationcontrol_in[6] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => obuf_os_0.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[6] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN6
parallelterminationcontrol_in[7] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => obuf_os_0.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[7] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN7
parallelterminationcontrol_in[8] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => obuf_os_0.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[8] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN8
parallelterminationcontrol_in[9] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => obuf_os_0.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[9] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN9
parallelterminationcontrol_in[10] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => obuf_os_0.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[10] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN10
parallelterminationcontrol_in[11] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => obuf_os_0.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[11] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN11
parallelterminationcontrol_in[12] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => obuf_os_0.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[12] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN12
parallelterminationcontrol_in[13] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => obuf_os_0.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[13] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN13
parallelterminationcontrol_in[14] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => obuf_os_0.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[14] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN14
parallelterminationcontrol_in[15] => obuf_os_bar_0.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => obuf_os_0.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[0].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[1].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[2].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[3].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[4].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[5].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[6].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => pad_gen[7].data_out.PARALLELTERMINATIONCONTROLIN15
parallelterminationcontrol_in[15] => extra_output_pad_gen[0].obuf_1.PARALLELTERMINATIONCONTROLIN15
seriesterminationcontrol_in[0] => obuf_os_bar_0.CONTROLIN
seriesterminationcontrol_in[0] => obuf_os_0.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[0].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[1].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[2].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[3].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[4].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[5].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[6].data_out.CONTROLIN
seriesterminationcontrol_in[0] => pad_gen[7].data_out.CONTROLIN
seriesterminationcontrol_in[0] => extra_output_pad_gen[0].obuf_1.CONTROLIN
seriesterminationcontrol_in[1] => obuf_os_bar_0.CONTROLIN1
seriesterminationcontrol_in[1] => obuf_os_0.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[0].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[1].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[2].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[3].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[4].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[5].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[6].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => pad_gen[7].data_out.CONTROLIN1
seriesterminationcontrol_in[1] => extra_output_pad_gen[0].obuf_1.CONTROLIN1
seriesterminationcontrol_in[2] => obuf_os_bar_0.CONTROLIN2
seriesterminationcontrol_in[2] => obuf_os_0.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[0].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[1].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[2].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[3].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[4].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[5].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[6].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => pad_gen[7].data_out.CONTROLIN2
seriesterminationcontrol_in[2] => extra_output_pad_gen[0].obuf_1.CONTROLIN2
seriesterminationcontrol_in[3] => obuf_os_bar_0.CONTROLIN3
seriesterminationcontrol_in[3] => obuf_os_0.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[0].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[1].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[2].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[3].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[4].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[5].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[6].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => pad_gen[7].data_out.CONTROLIN3
seriesterminationcontrol_in[3] => extra_output_pad_gen[0].obuf_1.CONTROLIN3
seriesterminationcontrol_in[4] => obuf_os_bar_0.CONTROLIN4
seriesterminationcontrol_in[4] => obuf_os_0.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[0].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[1].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[2].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[3].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[4].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[5].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[6].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => pad_gen[7].data_out.CONTROLIN4
seriesterminationcontrol_in[4] => extra_output_pad_gen[0].obuf_1.CONTROLIN4
seriesterminationcontrol_in[5] => obuf_os_bar_0.CONTROLIN5
seriesterminationcontrol_in[5] => obuf_os_0.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[0].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[1].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[2].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[3].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[4].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[5].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[6].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => pad_gen[7].data_out.CONTROLIN5
seriesterminationcontrol_in[5] => extra_output_pad_gen[0].obuf_1.CONTROLIN5
seriesterminationcontrol_in[6] => obuf_os_bar_0.CONTROLIN6
seriesterminationcontrol_in[6] => obuf_os_0.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[0].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[1].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[2].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[3].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[4].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[5].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[6].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => pad_gen[7].data_out.CONTROLIN6
seriesterminationcontrol_in[6] => extra_output_pad_gen[0].obuf_1.CONTROLIN6
seriesterminationcontrol_in[7] => obuf_os_bar_0.CONTROLIN7
seriesterminationcontrol_in[7] => obuf_os_0.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[0].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[1].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[2].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[3].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[4].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[5].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[6].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => pad_gen[7].data_out.CONTROLIN7
seriesterminationcontrol_in[7] => extra_output_pad_gen[0].obuf_1.CONTROLIN7
seriesterminationcontrol_in[8] => obuf_os_bar_0.CONTROLIN8
seriesterminationcontrol_in[8] => obuf_os_0.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[0].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[1].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[2].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[3].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[4].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[5].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[6].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => pad_gen[7].data_out.CONTROLIN8
seriesterminationcontrol_in[8] => extra_output_pad_gen[0].obuf_1.CONTROLIN8
seriesterminationcontrol_in[9] => obuf_os_bar_0.CONTROLIN9
seriesterminationcontrol_in[9] => obuf_os_0.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[0].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[1].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[2].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[3].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[4].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[5].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[6].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => pad_gen[7].data_out.CONTROLIN9
seriesterminationcontrol_in[9] => extra_output_pad_gen[0].obuf_1.CONTROLIN9
seriesterminationcontrol_in[10] => obuf_os_bar_0.CONTROLIN10
seriesterminationcontrol_in[10] => obuf_os_0.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[0].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[1].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[2].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[3].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[4].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[5].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[6].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => pad_gen[7].data_out.CONTROLIN10
seriesterminationcontrol_in[10] => extra_output_pad_gen[0].obuf_1.CONTROLIN10
seriesterminationcontrol_in[11] => obuf_os_bar_0.CONTROLIN11
seriesterminationcontrol_in[11] => obuf_os_0.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[0].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[1].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[2].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[3].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[4].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[5].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[6].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => pad_gen[7].data_out.CONTROLIN11
seriesterminationcontrol_in[11] => extra_output_pad_gen[0].obuf_1.CONTROLIN11
seriesterminationcontrol_in[12] => obuf_os_bar_0.CONTROLIN12
seriesterminationcontrol_in[12] => obuf_os_0.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[0].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[1].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[2].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[3].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[4].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[5].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[6].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => pad_gen[7].data_out.CONTROLIN12
seriesterminationcontrol_in[12] => extra_output_pad_gen[0].obuf_1.CONTROLIN12
seriesterminationcontrol_in[13] => obuf_os_bar_0.CONTROLIN13
seriesterminationcontrol_in[13] => obuf_os_0.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[0].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[1].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[2].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[3].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[4].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[5].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[6].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => pad_gen[7].data_out.CONTROLIN13
seriesterminationcontrol_in[13] => extra_output_pad_gen[0].obuf_1.CONTROLIN13
seriesterminationcontrol_in[14] => obuf_os_bar_0.CONTROLIN14
seriesterminationcontrol_in[14] => obuf_os_0.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[0].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[1].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[2].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[3].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[4].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[5].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[6].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => pad_gen[7].data_out.CONTROLIN14
seriesterminationcontrol_in[14] => extra_output_pad_gen[0].obuf_1.CONTROLIN14
seriesterminationcontrol_in[15] => obuf_os_bar_0.CONTROLIN15
seriesterminationcontrol_in[15] => obuf_os_0.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[0].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[1].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[2].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[3].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[4].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[5].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[6].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => pad_gen[7].data_out.CONTROLIN15
seriesterminationcontrol_in[15] => extra_output_pad_gen[0].obuf_1.CONTROLIN15
read_data_in[0] => ~NO_FANOUT~
read_data_in[1] => ~NO_FANOUT~
read_data_in[2] => ~NO_FANOUT~
read_data_in[3] => ~NO_FANOUT~
read_data_in[4] => ~NO_FANOUT~
read_data_in[5] => ~NO_FANOUT~
read_data_in[6] => ~NO_FANOUT~
read_data_in[7] => ~NO_FANOUT~
write_data_out[0] <= <GND>
write_data_out[1] <= <GND>
write_data_out[2] <= <GND>
write_data_out[3] <= <GND>
write_data_out[4] <= <GND>
write_data_out[5] <= <GND>
write_data_out[6] <= <GND>
write_data_out[7] <= <GND>
read_write_data_io[0] <> pad_gen[0].data_out
read_write_data_io[1] <> pad_gen[1].data_out
read_write_data_io[2] <> pad_gen[2].data_out
read_write_data_io[3] <> pad_gen[3].data_out
read_write_data_io[4] <> pad_gen[4].data_out
read_write_data_io[5] <> pad_gen[5].data_out
read_write_data_io[6] <> pad_gen[6].data_out
read_write_data_io[7] <> pad_gen[7].data_out
write_oe_in[0] => output_path_gen[0].hr_to_fr_oe.DATAINHI
write_oe_in[1] => output_path_gen[0].hr_to_fr_oe.DATAINLO
write_oe_in[2] => output_path_gen[1].hr_to_fr_oe.DATAINHI
write_oe_in[3] => output_path_gen[1].hr_to_fr_oe.DATAINLO
write_oe_in[4] => output_path_gen[2].hr_to_fr_oe.DATAINHI
write_oe_in[5] => output_path_gen[2].hr_to_fr_oe.DATAINLO
write_oe_in[6] => output_path_gen[3].hr_to_fr_oe.DATAINHI
write_oe_in[7] => output_path_gen[3].hr_to_fr_oe.DATAINLO
write_oe_in[8] => output_path_gen[4].hr_to_fr_oe.DATAINHI
write_oe_in[9] => output_path_gen[4].hr_to_fr_oe.DATAINLO
write_oe_in[10] => output_path_gen[5].hr_to_fr_oe.DATAINHI
write_oe_in[11] => output_path_gen[5].hr_to_fr_oe.DATAINLO
write_oe_in[12] => output_path_gen[6].hr_to_fr_oe.DATAINHI
write_oe_in[13] => output_path_gen[6].hr_to_fr_oe.DATAINLO
write_oe_in[14] => output_path_gen[7].hr_to_fr_oe.DATAINHI
write_oe_in[15] => output_path_gen[7].hr_to_fr_oe.DATAINLO
read_data_out[0] <= input_path_gen[0].read_fifo.O_DOUT
read_data_out[1] <= input_path_gen[0].read_fifo.O_DOUT1
read_data_out[2] <= input_path_gen[0].read_fifo.O_DOUT2
read_data_out[3] <= input_path_gen[0].read_fifo.O_DOUT3
read_data_out[4] <= input_path_gen[1].read_fifo.O_DOUT
read_data_out[5] <= input_path_gen[1].read_fifo.O_DOUT1
read_data_out[6] <= input_path_gen[1].read_fifo.O_DOUT2
read_data_out[7] <= input_path_gen[1].read_fifo.O_DOUT3
read_data_out[8] <= input_path_gen[2].read_fifo.O_DOUT
read_data_out[9] <= input_path_gen[2].read_fifo.O_DOUT1
read_data_out[10] <= input_path_gen[2].read_fifo.O_DOUT2
read_data_out[11] <= input_path_gen[2].read_fifo.O_DOUT3
read_data_out[12] <= input_path_gen[3].read_fifo.O_DOUT
read_data_out[13] <= input_path_gen[3].read_fifo.O_DOUT1
read_data_out[14] <= input_path_gen[3].read_fifo.O_DOUT2
read_data_out[15] <= input_path_gen[3].read_fifo.O_DOUT3
read_data_out[16] <= input_path_gen[4].read_fifo.O_DOUT
read_data_out[17] <= input_path_gen[4].read_fifo.O_DOUT1
read_data_out[18] <= input_path_gen[4].read_fifo.O_DOUT2
read_data_out[19] <= input_path_gen[4].read_fifo.O_DOUT3
read_data_out[20] <= input_path_gen[5].read_fifo.O_DOUT
read_data_out[21] <= input_path_gen[5].read_fifo.O_DOUT1
read_data_out[22] <= input_path_gen[5].read_fifo.O_DOUT2
read_data_out[23] <= input_path_gen[5].read_fifo.O_DOUT3
read_data_out[24] <= input_path_gen[6].read_fifo.O_DOUT
read_data_out[25] <= input_path_gen[6].read_fifo.O_DOUT1
read_data_out[26] <= input_path_gen[6].read_fifo.O_DOUT2
read_data_out[27] <= input_path_gen[6].read_fifo.O_DOUT3
read_data_out[28] <= input_path_gen[7].read_fifo.O_DOUT
read_data_out[29] <= input_path_gen[7].read_fifo.O_DOUT1
read_data_out[30] <= input_path_gen[7].read_fifo.O_DOUT2
read_data_out[31] <= input_path_gen[7].read_fifo.O_DOUT3
write_data_in[0] => output_path_gen[0].hr_to_fr_hi.DATAINHI
write_data_in[1] => output_path_gen[0].hr_to_fr_lo.DATAINHI
write_data_in[2] => output_path_gen[0].hr_to_fr_hi.DATAINLO
write_data_in[3] => output_path_gen[0].hr_to_fr_lo.DATAINLO
write_data_in[4] => output_path_gen[1].hr_to_fr_hi.DATAINHI
write_data_in[5] => output_path_gen[1].hr_to_fr_lo.DATAINHI
write_data_in[6] => output_path_gen[1].hr_to_fr_hi.DATAINLO
write_data_in[7] => output_path_gen[1].hr_to_fr_lo.DATAINLO
write_data_in[8] => output_path_gen[2].hr_to_fr_hi.DATAINHI
write_data_in[9] => output_path_gen[2].hr_to_fr_lo.DATAINHI
write_data_in[10] => output_path_gen[2].hr_to_fr_hi.DATAINLO
write_data_in[11] => output_path_gen[2].hr_to_fr_lo.DATAINLO
write_data_in[12] => output_path_gen[3].hr_to_fr_hi.DATAINHI
write_data_in[13] => output_path_gen[3].hr_to_fr_lo.DATAINHI
write_data_in[14] => output_path_gen[3].hr_to_fr_hi.DATAINLO
write_data_in[15] => output_path_gen[3].hr_to_fr_lo.DATAINLO
write_data_in[16] => output_path_gen[4].hr_to_fr_hi.DATAINHI
write_data_in[17] => output_path_gen[4].hr_to_fr_lo.DATAINHI
write_data_in[18] => output_path_gen[4].hr_to_fr_hi.DATAINLO
write_data_in[19] => output_path_gen[4].hr_to_fr_lo.DATAINLO
write_data_in[20] => output_path_gen[5].hr_to_fr_hi.DATAINHI
write_data_in[21] => output_path_gen[5].hr_to_fr_lo.DATAINHI
write_data_in[22] => output_path_gen[5].hr_to_fr_hi.DATAINLO
write_data_in[23] => output_path_gen[5].hr_to_fr_lo.DATAINLO
write_data_in[24] => output_path_gen[6].hr_to_fr_hi.DATAINHI
write_data_in[25] => output_path_gen[6].hr_to_fr_lo.DATAINHI
write_data_in[26] => output_path_gen[6].hr_to_fr_hi.DATAINLO
write_data_in[27] => output_path_gen[6].hr_to_fr_lo.DATAINLO
write_data_in[28] => output_path_gen[7].hr_to_fr_hi.DATAINHI
write_data_in[29] => output_path_gen[7].hr_to_fr_lo.DATAINHI
write_data_in[30] => output_path_gen[7].hr_to_fr_hi.DATAINLO
write_data_in[31] => output_path_gen[7].hr_to_fr_lo.DATAINLO
extra_write_data_in[0] => extra_output_pad_gen[0].hr_to_fr_hi.DATAINHI
extra_write_data_in[1] => extra_output_pad_gen[0].hr_to_fr_lo.DATAINHI
extra_write_data_in[2] => extra_output_pad_gen[0].hr_to_fr_hi.DATAINLO
extra_write_data_in[3] => extra_output_pad_gen[0].hr_to_fr_lo.DATAINLO
extra_write_data_out[0] <= extra_output_pad_gen[0].obuf_1.OUT
capture_strobe_tracking <= dqs_ff.DB_MAX_OUTPUT_PORT_TYPE
lfifo_rdata_en[0] => hr_to_fr_lfifo_rdata_en.DATAINHI
lfifo_rdata_en[1] => hr_to_fr_lfifo_rdata_en.DATAINLO
lfifo_rdata_en_full[0] => hr_to_fr_lfifo_rdata_en_full.DATAINHI
lfifo_rdata_en_full[1] => hr_to_fr_lfifo_rdata_en_full.DATAINLO
lfifo_rd_latency[0] => lfifo.I_RD_LATENCY
lfifo_rd_latency[1] => lfifo.I_RD_LATENCY1
lfifo_rd_latency[2] => lfifo.I_RD_LATENCY2
lfifo_rd_latency[3] => lfifo.I_RD_LATENCY3
lfifo_rd_latency[4] => lfifo.I_RD_LATENCY4
lfifo_reset_n => lfifo.I_RST_N
lfifo_rdata_valid <= lfifo.O_RDATA_VALID
vfifo_qvld[0] => hr_to_fr_vfifo_qvld.DATAINHI
vfifo_qvld[1] => hr_to_fr_vfifo_qvld.DATAINLO
vfifo_inc_wr_ptr[0] => hr_to_fr_vfifo_inc_wr_ptr.DATAINHI
vfifo_inc_wr_ptr[1] => hr_to_fr_vfifo_inc_wr_ptr.DATAINLO
vfifo_reset_n => vfifo.I_RSTN
rfifo_reset_n => input_path_gen[0].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[1].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[2].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[3].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[4].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[5].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[6].read_fifo.I_RSTN
rfifo_reset_n => input_path_gen[7].read_fifo.I_RSTN
config_data_in => dqs_io_config_1.DATAIN
config_data_in => dqs_config_gen[0].dqs_config_inst.DATAIN
config_data_in => pad_gen[0].config_1.DATAIN
config_data_in => pad_gen[1].config_1.DATAIN
config_data_in => pad_gen[2].config_1.DATAIN
config_data_in => pad_gen[3].config_1.DATAIN
config_data_in => pad_gen[4].config_1.DATAIN
config_data_in => pad_gen[5].config_1.DATAIN
config_data_in => pad_gen[6].config_1.DATAIN
config_data_in => pad_gen[7].config_1.DATAIN
config_data_in => extra_output_pad_gen[0].config_1.DATAIN
config_dqs_ena => dqs_config_gen[0].dqs_config_inst.ENABLE
config_io_ena[0] => pad_gen[0].config_1.ENABLE
config_io_ena[1] => pad_gen[1].config_1.ENABLE
config_io_ena[2] => pad_gen[2].config_1.ENABLE
config_io_ena[3] => pad_gen[3].config_1.ENABLE
config_io_ena[4] => pad_gen[4].config_1.ENABLE
config_io_ena[5] => pad_gen[5].config_1.ENABLE
config_io_ena[6] => pad_gen[6].config_1.ENABLE
config_io_ena[7] => pad_gen[7].config_1.ENABLE
config_extra_io_ena[0] => extra_output_pad_gen[0].config_1.ENABLE
config_dqs_io_ena => dqs_io_config_1.ENABLE
config_update => dqs_io_config_1.UPDATE
config_update => dqs_config_gen[0].dqs_config_inst.UPDATE
config_update => pad_gen[0].config_1.UPDATE
config_update => pad_gen[1].config_1.UPDATE
config_update => pad_gen[2].config_1.UPDATE
config_update => pad_gen[3].config_1.UPDATE
config_update => pad_gen[4].config_1.UPDATE
config_update => pad_gen[5].config_1.UPDATE
config_update => pad_gen[6].config_1.UPDATE
config_update => pad_gen[7].config_1.UPDATE
config_update => extra_output_pad_gen[0].config_1.UPDATE
config_clock_in => dqs_io_config_1.CLK
config_clock_in => dqs_config_gen[0].dqs_config_inst.CLK
config_clock_in => pad_gen[0].config_1.CLK
config_clock_in => pad_gen[1].config_1.CLK
config_clock_in => pad_gen[2].config_1.CLK
config_clock_in => pad_gen[3].config_1.CLK
config_clock_in => pad_gen[4].config_1.CLK
config_clock_in => pad_gen[5].config_1.CLK
config_clock_in => pad_gen[6].config_1.CLK
config_clock_in => pad_gen[7].config_1.CLK
config_clock_in => extra_output_pad_gen[0].config_1.CLK


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|altera_mem_if_hhp_qseq_synth_top:seq


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|altera_mem_if_hard_memory_controller_top_cyclonev:c0
afi_clk => ~NO_FANOUT~
afi_half_clk => ~NO_FANOUT~
ctl_clk => hmc_inst.I_CTLCLK
mp_cmd_clk_0 => hmc_inst.I_PORTCLK0
mp_cmd_clk_1 => hmc_inst.I_PORTCLK1
mp_cmd_clk_2 => hmc_inst.I_PORTCLK2
mp_cmd_clk_3 => hmc_inst.I_PORTCLK3
mp_cmd_clk_4 => hmc_inst.I_PORTCLK4
mp_cmd_clk_5 => hmc_inst.I_PORTCLK5
mp_cmd_reset_n_0 => hmc_inst.I_IAVSTCMDRESETN0
mp_cmd_reset_n_1 => hmc_inst.I_IAVSTCMDRESETN1
mp_cmd_reset_n_2 => hmc_inst.I_IAVSTCMDRESETN2
mp_cmd_reset_n_3 => hmc_inst.I_IAVSTCMDRESETN3
mp_cmd_reset_n_4 => hmc_inst.I_IAVSTCMDRESETN4
mp_cmd_reset_n_5 => hmc_inst.I_IAVSTCMDRESETN5
mp_rfifo_clk_0 => hmc_inst.I_IAVSTRDCLK0
mp_rfifo_clk_1 => hmc_inst.I_IAVSTRDCLK1
mp_rfifo_clk_2 => hmc_inst.I_IAVSTRDCLK2
mp_rfifo_clk_3 => hmc_inst.I_IAVSTRDCLK3
mp_rfifo_reset_n_0 => hmc_inst.I_IAVSTRDRESETN0
mp_rfifo_reset_n_1 => hmc_inst.I_IAVSTRDRESETN1
mp_rfifo_reset_n_2 => hmc_inst.I_IAVSTRDRESETN2
mp_rfifo_reset_n_3 => hmc_inst.I_IAVSTRDRESETN3
mp_wfifo_clk_0 => hmc_inst.I_IAVSTWRCLK0
mp_wfifo_clk_1 => hmc_inst.I_IAVSTWRCLK1
mp_wfifo_clk_2 => hmc_inst.I_IAVSTWRCLK2
mp_wfifo_clk_3 => hmc_inst.I_IAVSTWRCLK3
mp_wfifo_reset_n_0 => hmc_inst.I_IAVSTWRRESETN0
mp_wfifo_reset_n_1 => hmc_inst.I_IAVSTWRRESETN1
mp_wfifo_reset_n_2 => hmc_inst.I_IAVSTWRRESETN2
mp_wfifo_reset_n_3 => hmc_inst.I_IAVSTWRRESETN3
csr_clk => hmc_inst.I_MMRCLK
csr_reset_n => hmc_inst.I_MMRRESETN
afi_reset_n => ~NO_FANOUT~
ctl_reset_n => hmc_inst.I_CTLRESETN
avl_ready_0 <= hmc_inst.O_OAMMREADY0
avl_write_req_0 => hmc_inst.I_IAVSTCMDDATA01
avl_read_req_0 => hmc_inst.I_IAVSTCMDDATA0
avl_addr_0[0] => hmc_inst.I_IAVSTCMDDATA02
avl_be_0[0] => i_avst_wr_data_g.DATAB
avl_be_0[0] => i_avst_wr_data_g.DATAB
avl_be_0[0] => i_avst_wr_data_g.DATAB
avl_wdata_0[0] => i_avst_wr_data_g.DATAB
avl_wdata_0[0] => i_avst_wr_data_g.DATAB
avl_wdata_0[0] => i_avst_wr_data_g.DATAB
avl_size_0[0] => hmc_inst.I_IAVSTCMDDATA034
avl_size_0[1] => hmc_inst.I_IAVSTCMDDATA035
avl_size_0[2] => hmc_inst.I_IAVSTCMDDATA036
avl_burstbegin_0 => ~NO_FANOUT~
avl_rdata_0[0] <= <GND>
avl_rdata_valid_0 <= <GND>
avl_ready_1 <= hmc_inst.O_OAMMREADY1
avl_write_req_1 => hmc_inst.I_IAVSTCMDDATA11
avl_read_req_1 => hmc_inst.I_IAVSTCMDDATA1
avl_addr_1[0] => hmc_inst.I_IAVSTCMDDATA12
avl_be_1[0] => i_avst_wr_data_g.DATAB
avl_be_1[0] => i_avst_wr_data_g.DATAB
avl_be_1[0] => i_avst_wr_data_g.DATAB
avl_wdata_1[0] => i_avst_wr_data_g.DATAB
avl_wdata_1[0] => i_avst_wr_data_g.DATAB
avl_wdata_1[0] => i_avst_wr_data_g.DATAB
avl_size_1[0] => hmc_inst.I_IAVSTCMDDATA134
avl_size_1[1] => hmc_inst.I_IAVSTCMDDATA135
avl_size_1[2] => hmc_inst.I_IAVSTCMDDATA136
avl_burstbegin_1 => ~NO_FANOUT~
avl_rdata_1[0] <= <GND>
avl_rdata_valid_1 <= <GND>
avl_ready_2 <= hmc_inst.O_OAMMREADY2
avl_write_req_2 => hmc_inst.I_IAVSTCMDDATA21
avl_read_req_2 => hmc_inst.I_IAVSTCMDDATA2
avl_addr_2[0] => hmc_inst.I_IAVSTCMDDATA22
avl_be_2[0] => i_avst_wr_data_g.DATAB
avl_be_2[0] => i_avst_wr_data_g.DATAB
avl_be_2[0] => i_avst_wr_data_g.DATAB
avl_wdata_2[0] => i_avst_wr_data_g.DATAB
avl_wdata_2[0] => i_avst_wr_data_g.DATAB
avl_wdata_2[0] => i_avst_wr_data_g.DATAB
avl_size_2[0] => hmc_inst.I_IAVSTCMDDATA234
avl_size_2[1] => hmc_inst.I_IAVSTCMDDATA235
avl_size_2[2] => hmc_inst.I_IAVSTCMDDATA236
avl_burstbegin_2 => ~NO_FANOUT~
avl_rdata_2[0] <= <GND>
avl_rdata_valid_2 <= <GND>
avl_ready_3 <= hmc_inst.O_OAMMREADY3
avl_write_req_3 => hmc_inst.I_IAVSTCMDDATA31
avl_read_req_3 => hmc_inst.I_IAVSTCMDDATA3
avl_addr_3[0] => hmc_inst.I_IAVSTCMDDATA32
avl_be_3[0] => i_avst_wr_data_g.DATAB
avl_be_3[0] => i_avst_wr_data_g.DATAB
avl_be_3[0] => i_avst_wr_data_g.DATAB
avl_wdata_3[0] => i_avst_wr_data_g.DATAB
avl_wdata_3[0] => i_avst_wr_data_g.DATAB
avl_wdata_3[0] => i_avst_wr_data_g.DATAB
avl_size_3[0] => hmc_inst.I_IAVSTCMDDATA334
avl_size_3[1] => hmc_inst.I_IAVSTCMDDATA335
avl_size_3[2] => hmc_inst.I_IAVSTCMDDATA336
avl_burstbegin_3 => ~NO_FANOUT~
avl_rdata_3[0] <= <GND>
avl_rdata_valid_3 <= <GND>
avl_ready_4 <= hmc_inst.O_OAMMREADY4
avl_write_req_4 => hmc_inst.I_IAVSTCMDDATA41
avl_read_req_4 => hmc_inst.I_IAVSTCMDDATA4
avl_addr_4[0] => hmc_inst.I_IAVSTCMDDATA42
avl_be_4[0] => i_avst_wr_data_g.DATAB
avl_be_4[0] => i_avst_wr_data_g.DATAB
avl_be_4[0] => i_avst_wr_data_g.DATAB
avl_wdata_4[0] => i_avst_wr_data_g.DATAB
avl_wdata_4[0] => i_avst_wr_data_g.DATAB
avl_wdata_4[0] => i_avst_wr_data_g.DATAB
avl_size_4[0] => hmc_inst.I_IAVSTCMDDATA434
avl_size_4[1] => hmc_inst.I_IAVSTCMDDATA435
avl_size_4[2] => hmc_inst.I_IAVSTCMDDATA436
avl_burstbegin_4 => ~NO_FANOUT~
avl_rdata_4[0] <= <GND>
avl_rdata_valid_4 <= <GND>
avl_ready_5 <= hmc_inst.O_OAMMREADY5
avl_write_req_5 => hmc_inst.I_IAVSTCMDDATA51
avl_read_req_5 => hmc_inst.I_IAVSTCMDDATA5
avl_addr_5[0] => hmc_inst.I_IAVSTCMDDATA52
avl_be_5[0] => i_avst_wr_data_g.DATAB
avl_be_5[0] => i_avst_wr_data_g.DATAB
avl_be_5[0] => i_avst_wr_data_g.DATAB
avl_wdata_5[0] => i_avst_wr_data_g.DATAB
avl_wdata_5[0] => i_avst_wr_data_g.DATAB
avl_wdata_5[0] => i_avst_wr_data_g.DATAB
avl_size_5[0] => hmc_inst.I_IAVSTCMDDATA534
avl_size_5[1] => hmc_inst.I_IAVSTCMDDATA535
avl_size_5[2] => hmc_inst.I_IAVSTCMDDATA536
avl_burstbegin_5 => ~NO_FANOUT~
avl_rdata_5[0] <= <GND>
avl_rdata_valid_5 <= <GND>
afi_rst_n[0] <= hmc_inst.O_AFIRSTN
afi_cs_n[0] <= hmc_inst.O_AFICSN
afi_cs_n[1] <= hmc_inst.O_AFICSN1
afi_cke[0] <= hmc_inst.O_AFICKE
afi_cke[1] <= hmc_inst.O_AFICKE1
afi_odt[0] <= hmc_inst.O_AFIODT
afi_odt[1] <= hmc_inst.O_AFIODT1
afi_addr[0] <= hmc_inst.O_AFIADDR
afi_addr[1] <= hmc_inst.O_AFIADDR1
afi_addr[2] <= hmc_inst.O_AFIADDR2
afi_addr[3] <= hmc_inst.O_AFIADDR3
afi_addr[4] <= hmc_inst.O_AFIADDR4
afi_addr[5] <= hmc_inst.O_AFIADDR5
afi_addr[6] <= hmc_inst.O_AFIADDR6
afi_addr[7] <= hmc_inst.O_AFIADDR7
afi_addr[8] <= hmc_inst.O_AFIADDR8
afi_addr[9] <= hmc_inst.O_AFIADDR9
afi_addr[10] <= hmc_inst.O_AFIADDR10
afi_addr[11] <= hmc_inst.O_AFIADDR11
afi_addr[12] <= hmc_inst.O_AFIADDR12
afi_addr[13] <= hmc_inst.O_AFIADDR13
afi_addr[14] <= hmc_inst.O_AFIADDR14
afi_addr[15] <= hmc_inst.O_AFIADDR15
afi_addr[16] <= hmc_inst.O_AFIADDR16
afi_addr[17] <= hmc_inst.O_AFIADDR17
afi_addr[18] <= hmc_inst.O_AFIADDR18
afi_addr[19] <= hmc_inst.O_AFIADDR19
afi_ba[0] <= hmc_inst.O_AFIBA
afi_ba[1] <= hmc_inst.O_AFIBA1
afi_ba[2] <= hmc_inst.O_AFIBA2
afi_ras_n[0] <= hmc_inst.O_AFIRASN
afi_cas_n[0] <= hmc_inst.O_AFICASN
afi_we_n[0] <= hmc_inst.O_AFIWEN
afi_dqs_burst[0] <= hmc_inst.O_AFIDQSBURST
afi_dqs_burst[1] <= hmc_inst.O_AFIDQSBURST1
afi_dqs_burst[2] <= hmc_inst.O_AFIDQSBURST2
afi_dqs_burst[3] <= hmc_inst.O_AFIDQSBURST3
afi_dqs_burst[4] <= hmc_inst.O_AFIDQSBURST4
afi_wdata_valid[0] <= hmc_inst.O_AFIWDATAVALID
afi_wdata_valid[1] <= hmc_inst.O_AFIWDATAVALID1
afi_wdata_valid[2] <= hmc_inst.O_AFIWDATAVALID2
afi_wdata_valid[3] <= hmc_inst.O_AFIWDATAVALID3
afi_wdata_valid[4] <= hmc_inst.O_AFIWDATAVALID4
afi_wdata[0] <= hmc_inst.O_AFIWDATA
afi_wdata[1] <= hmc_inst.O_AFIWDATA1
afi_wdata[2] <= hmc_inst.O_AFIWDATA2
afi_wdata[3] <= hmc_inst.O_AFIWDATA3
afi_wdata[4] <= hmc_inst.O_AFIWDATA4
afi_wdata[5] <= hmc_inst.O_AFIWDATA5
afi_wdata[6] <= hmc_inst.O_AFIWDATA6
afi_wdata[7] <= hmc_inst.O_AFIWDATA7
afi_wdata[8] <= hmc_inst.O_AFIWDATA8
afi_wdata[9] <= hmc_inst.O_AFIWDATA9
afi_wdata[10] <= hmc_inst.O_AFIWDATA10
afi_wdata[11] <= hmc_inst.O_AFIWDATA11
afi_wdata[12] <= hmc_inst.O_AFIWDATA12
afi_wdata[13] <= hmc_inst.O_AFIWDATA13
afi_wdata[14] <= hmc_inst.O_AFIWDATA14
afi_wdata[15] <= hmc_inst.O_AFIWDATA15
afi_wdata[16] <= hmc_inst.O_AFIWDATA16
afi_wdata[17] <= hmc_inst.O_AFIWDATA17
afi_wdata[18] <= hmc_inst.O_AFIWDATA18
afi_wdata[19] <= hmc_inst.O_AFIWDATA19
afi_wdata[20] <= hmc_inst.O_AFIWDATA20
afi_wdata[21] <= hmc_inst.O_AFIWDATA21
afi_wdata[22] <= hmc_inst.O_AFIWDATA22
afi_wdata[23] <= hmc_inst.O_AFIWDATA23
afi_wdata[24] <= hmc_inst.O_AFIWDATA24
afi_wdata[25] <= hmc_inst.O_AFIWDATA25
afi_wdata[26] <= hmc_inst.O_AFIWDATA26
afi_wdata[27] <= hmc_inst.O_AFIWDATA27
afi_wdata[28] <= hmc_inst.O_AFIWDATA28
afi_wdata[29] <= hmc_inst.O_AFIWDATA29
afi_wdata[30] <= hmc_inst.O_AFIWDATA30
afi_wdata[31] <= hmc_inst.O_AFIWDATA31
afi_wdata[32] <= hmc_inst.O_AFIWDATA32
afi_wdata[33] <= hmc_inst.O_AFIWDATA33
afi_wdata[34] <= hmc_inst.O_AFIWDATA34
afi_wdata[35] <= hmc_inst.O_AFIWDATA35
afi_wdata[36] <= hmc_inst.O_AFIWDATA36
afi_wdata[37] <= hmc_inst.O_AFIWDATA37
afi_wdata[38] <= hmc_inst.O_AFIWDATA38
afi_wdata[39] <= hmc_inst.O_AFIWDATA39
afi_wdata[40] <= hmc_inst.O_AFIWDATA40
afi_wdata[41] <= hmc_inst.O_AFIWDATA41
afi_wdata[42] <= hmc_inst.O_AFIWDATA42
afi_wdata[43] <= hmc_inst.O_AFIWDATA43
afi_wdata[44] <= hmc_inst.O_AFIWDATA44
afi_wdata[45] <= hmc_inst.O_AFIWDATA45
afi_wdata[46] <= hmc_inst.O_AFIWDATA46
afi_wdata[47] <= hmc_inst.O_AFIWDATA47
afi_wdata[48] <= hmc_inst.O_AFIWDATA48
afi_wdata[49] <= hmc_inst.O_AFIWDATA49
afi_wdata[50] <= hmc_inst.O_AFIWDATA50
afi_wdata[51] <= hmc_inst.O_AFIWDATA51
afi_wdata[52] <= hmc_inst.O_AFIWDATA52
afi_wdata[53] <= hmc_inst.O_AFIWDATA53
afi_wdata[54] <= hmc_inst.O_AFIWDATA54
afi_wdata[55] <= hmc_inst.O_AFIWDATA55
afi_wdata[56] <= hmc_inst.O_AFIWDATA56
afi_wdata[57] <= hmc_inst.O_AFIWDATA57
afi_wdata[58] <= hmc_inst.O_AFIWDATA58
afi_wdata[59] <= hmc_inst.O_AFIWDATA59
afi_wdata[60] <= hmc_inst.O_AFIWDATA60
afi_wdata[61] <= hmc_inst.O_AFIWDATA61
afi_wdata[62] <= hmc_inst.O_AFIWDATA62
afi_wdata[63] <= hmc_inst.O_AFIWDATA63
afi_wdata[64] <= hmc_inst.O_AFIWDATA64
afi_wdata[65] <= hmc_inst.O_AFIWDATA65
afi_wdata[66] <= hmc_inst.O_AFIWDATA66
afi_wdata[67] <= hmc_inst.O_AFIWDATA67
afi_wdata[68] <= hmc_inst.O_AFIWDATA68
afi_wdata[69] <= hmc_inst.O_AFIWDATA69
afi_wdata[70] <= hmc_inst.O_AFIWDATA70
afi_wdata[71] <= hmc_inst.O_AFIWDATA71
afi_wdata[72] <= hmc_inst.O_AFIWDATA72
afi_wdata[73] <= hmc_inst.O_AFIWDATA73
afi_wdata[74] <= hmc_inst.O_AFIWDATA74
afi_wdata[75] <= hmc_inst.O_AFIWDATA75
afi_wdata[76] <= hmc_inst.O_AFIWDATA76
afi_wdata[77] <= hmc_inst.O_AFIWDATA77
afi_wdata[78] <= hmc_inst.O_AFIWDATA78
afi_wdata[79] <= hmc_inst.O_AFIWDATA79
afi_dm[0] <= hmc_inst.O_AFIDM
afi_dm[1] <= hmc_inst.O_AFIDM1
afi_dm[2] <= hmc_inst.O_AFIDM2
afi_dm[3] <= hmc_inst.O_AFIDM3
afi_dm[4] <= hmc_inst.O_AFIDM4
afi_dm[5] <= hmc_inst.O_AFIDM5
afi_dm[6] <= hmc_inst.O_AFIDM6
afi_dm[7] <= hmc_inst.O_AFIDM7
afi_dm[8] <= hmc_inst.O_AFIDM8
afi_dm[9] <= hmc_inst.O_AFIDM9
afi_wlat[0] => hmc_inst.I_AFIWLAT
afi_wlat[1] => hmc_inst.I_AFIWLAT1
afi_wlat[2] => hmc_inst.I_AFIWLAT2
afi_wlat[3] => hmc_inst.I_AFIWLAT3
afi_rdata_en[0] <= hmc_inst.O_AFIRDATAEN
afi_rdata_en[1] <= hmc_inst.O_AFIRDATAEN1
afi_rdata_en[2] <= hmc_inst.O_AFIRDATAEN2
afi_rdata_en[3] <= hmc_inst.O_AFIRDATAEN3
afi_rdata_en[4] <= hmc_inst.O_AFIRDATAEN4
afi_rdata_en_full[0] <= hmc_inst.O_AFIRDATAENFULL
afi_rdata_en_full[1] <= hmc_inst.O_AFIRDATAENFULL1
afi_rdata_en_full[2] <= hmc_inst.O_AFIRDATAENFULL2
afi_rdata_en_full[3] <= hmc_inst.O_AFIRDATAENFULL3
afi_rdata_en_full[4] <= hmc_inst.O_AFIRDATAENFULL4
afi_rdata[0] => hmc_inst.I_AFIRDATA
afi_rdata[1] => hmc_inst.I_AFIRDATA1
afi_rdata[2] => hmc_inst.I_AFIRDATA2
afi_rdata[3] => hmc_inst.I_AFIRDATA3
afi_rdata[4] => hmc_inst.I_AFIRDATA4
afi_rdata[5] => hmc_inst.I_AFIRDATA5
afi_rdata[6] => hmc_inst.I_AFIRDATA6
afi_rdata[7] => hmc_inst.I_AFIRDATA7
afi_rdata[8] => hmc_inst.I_AFIRDATA8
afi_rdata[9] => hmc_inst.I_AFIRDATA9
afi_rdata[10] => hmc_inst.I_AFIRDATA10
afi_rdata[11] => hmc_inst.I_AFIRDATA11
afi_rdata[12] => hmc_inst.I_AFIRDATA12
afi_rdata[13] => hmc_inst.I_AFIRDATA13
afi_rdata[14] => hmc_inst.I_AFIRDATA14
afi_rdata[15] => hmc_inst.I_AFIRDATA15
afi_rdata[16] => hmc_inst.I_AFIRDATA16
afi_rdata[17] => hmc_inst.I_AFIRDATA17
afi_rdata[18] => hmc_inst.I_AFIRDATA18
afi_rdata[19] => hmc_inst.I_AFIRDATA19
afi_rdata[20] => hmc_inst.I_AFIRDATA20
afi_rdata[21] => hmc_inst.I_AFIRDATA21
afi_rdata[22] => hmc_inst.I_AFIRDATA22
afi_rdata[23] => hmc_inst.I_AFIRDATA23
afi_rdata[24] => hmc_inst.I_AFIRDATA24
afi_rdata[25] => hmc_inst.I_AFIRDATA25
afi_rdata[26] => hmc_inst.I_AFIRDATA26
afi_rdata[27] => hmc_inst.I_AFIRDATA27
afi_rdata[28] => hmc_inst.I_AFIRDATA28
afi_rdata[29] => hmc_inst.I_AFIRDATA29
afi_rdata[30] => hmc_inst.I_AFIRDATA30
afi_rdata[31] => hmc_inst.I_AFIRDATA31
afi_rdata[32] => hmc_inst.I_AFIRDATA32
afi_rdata[33] => hmc_inst.I_AFIRDATA33
afi_rdata[34] => hmc_inst.I_AFIRDATA34
afi_rdata[35] => hmc_inst.I_AFIRDATA35
afi_rdata[36] => hmc_inst.I_AFIRDATA36
afi_rdata[37] => hmc_inst.I_AFIRDATA37
afi_rdata[38] => hmc_inst.I_AFIRDATA38
afi_rdata[39] => hmc_inst.I_AFIRDATA39
afi_rdata[40] => hmc_inst.I_AFIRDATA40
afi_rdata[41] => hmc_inst.I_AFIRDATA41
afi_rdata[42] => hmc_inst.I_AFIRDATA42
afi_rdata[43] => hmc_inst.I_AFIRDATA43
afi_rdata[44] => hmc_inst.I_AFIRDATA44
afi_rdata[45] => hmc_inst.I_AFIRDATA45
afi_rdata[46] => hmc_inst.I_AFIRDATA46
afi_rdata[47] => hmc_inst.I_AFIRDATA47
afi_rdata[48] => hmc_inst.I_AFIRDATA48
afi_rdata[49] => hmc_inst.I_AFIRDATA49
afi_rdata[50] => hmc_inst.I_AFIRDATA50
afi_rdata[51] => hmc_inst.I_AFIRDATA51
afi_rdata[52] => hmc_inst.I_AFIRDATA52
afi_rdata[53] => hmc_inst.I_AFIRDATA53
afi_rdata[54] => hmc_inst.I_AFIRDATA54
afi_rdata[55] => hmc_inst.I_AFIRDATA55
afi_rdata[56] => hmc_inst.I_AFIRDATA56
afi_rdata[57] => hmc_inst.I_AFIRDATA57
afi_rdata[58] => hmc_inst.I_AFIRDATA58
afi_rdata[59] => hmc_inst.I_AFIRDATA59
afi_rdata[60] => hmc_inst.I_AFIRDATA60
afi_rdata[61] => hmc_inst.I_AFIRDATA61
afi_rdata[62] => hmc_inst.I_AFIRDATA62
afi_rdata[63] => hmc_inst.I_AFIRDATA63
afi_rdata[64] => hmc_inst.I_AFIRDATA64
afi_rdata[65] => hmc_inst.I_AFIRDATA65
afi_rdata[66] => hmc_inst.I_AFIRDATA66
afi_rdata[67] => hmc_inst.I_AFIRDATA67
afi_rdata[68] => hmc_inst.I_AFIRDATA68
afi_rdata[69] => hmc_inst.I_AFIRDATA69
afi_rdata[70] => hmc_inst.I_AFIRDATA70
afi_rdata[71] => hmc_inst.I_AFIRDATA71
afi_rdata[72] => hmc_inst.I_AFIRDATA72
afi_rdata[73] => hmc_inst.I_AFIRDATA73
afi_rdata[74] => hmc_inst.I_AFIRDATA74
afi_rdata[75] => hmc_inst.I_AFIRDATA75
afi_rdata[76] => hmc_inst.I_AFIRDATA76
afi_rdata[77] => hmc_inst.I_AFIRDATA77
afi_rdata[78] => hmc_inst.I_AFIRDATA78
afi_rdata[79] => hmc_inst.I_AFIRDATA79
afi_rdata_valid[0] => hmc_inst.I_AFIRDATAVALID
afi_rlat[0] => ~NO_FANOUT~
afi_rlat[1] => ~NO_FANOUT~
afi_rlat[2] => ~NO_FANOUT~
afi_rlat[3] => ~NO_FANOUT~
afi_rlat[4] => ~NO_FANOUT~
afi_cal_success => hmc_inst.I_CTLCALSUCCESS
afi_mem_clk_disable[0] <= hmc_inst.O_CTLMEMCLKDISABLE
afi_ctl_refresh_done[0] <= hmc_inst.O_AFICTLREFRESHDONE
afi_seq_busy[0] => hmc_inst.I_AFISEQBUSY
afi_seq_busy[0] => hmc_inst.I_AFISEQBUSY1
afi_ctl_long_idle[0] <= hmc_inst.O_AFICTLLONGIDLE
afi_cal_fail => hmc_inst.I_CTLCALFAIL
afi_cal_req <= hmc_inst.O_CTLCALREQ
afi_init_req <= <GND>
cfg_dramconfig[0] <= hmc_inst.O_DRAMCONFIG
cfg_dramconfig[1] <= hmc_inst.O_DRAMCONFIG1
cfg_dramconfig[2] <= hmc_inst.O_DRAMCONFIG2
cfg_dramconfig[3] <= hmc_inst.O_DRAMCONFIG3
cfg_dramconfig[4] <= hmc_inst.O_DRAMCONFIG4
cfg_dramconfig[5] <= hmc_inst.O_DRAMCONFIG5
cfg_dramconfig[6] <= hmc_inst.O_DRAMCONFIG6
cfg_dramconfig[7] <= hmc_inst.O_DRAMCONFIG7
cfg_dramconfig[8] <= hmc_inst.O_DRAMCONFIG8
cfg_dramconfig[9] <= hmc_inst.O_DRAMCONFIG9
cfg_dramconfig[10] <= hmc_inst.O_DRAMCONFIG10
cfg_dramconfig[11] <= hmc_inst.O_DRAMCONFIG11
cfg_dramconfig[12] <= hmc_inst.O_DRAMCONFIG12
cfg_dramconfig[13] <= hmc_inst.O_DRAMCONFIG13
cfg_dramconfig[14] <= hmc_inst.O_DRAMCONFIG14
cfg_dramconfig[15] <= hmc_inst.O_DRAMCONFIG15
cfg_dramconfig[16] <= hmc_inst.O_DRAMCONFIG16
cfg_dramconfig[17] <= hmc_inst.O_DRAMCONFIG17
cfg_dramconfig[18] <= hmc_inst.O_DRAMCONFIG18
cfg_dramconfig[19] <= hmc_inst.O_DRAMCONFIG19
cfg_dramconfig[20] <= hmc_inst.O_DRAMCONFIG20
cfg_dramconfig[21] <= <GND>
cfg_dramconfig[22] <= <GND>
cfg_dramconfig[23] <= <GND>
cfg_caswrlat[0] <= hmc_inst.O_CFGCASWRLAT
cfg_caswrlat[1] <= hmc_inst.O_CFGCASWRLAT1
cfg_caswrlat[2] <= hmc_inst.O_CFGCASWRLAT2
cfg_caswrlat[3] <= hmc_inst.O_CFGCASWRLAT3
cfg_caswrlat[4] <= <GND>
cfg_caswrlat[5] <= <GND>
cfg_caswrlat[6] <= <GND>
cfg_caswrlat[7] <= <GND>
cfg_addlat[0] <= hmc_inst.O_CFGADDLAT
cfg_addlat[1] <= hmc_inst.O_CFGADDLAT1
cfg_addlat[2] <= hmc_inst.O_CFGADDLAT2
cfg_addlat[3] <= hmc_inst.O_CFGADDLAT3
cfg_addlat[4] <= hmc_inst.O_CFGADDLAT4
cfg_addlat[5] <= <GND>
cfg_addlat[6] <= <GND>
cfg_addlat[7] <= <GND>
cfg_tcl[0] <= hmc_inst.O_CFGTCL
cfg_tcl[1] <= hmc_inst.O_CFGTCL1
cfg_tcl[2] <= hmc_inst.O_CFGTCL2
cfg_tcl[3] <= hmc_inst.O_CFGTCL3
cfg_tcl[4] <= hmc_inst.O_CFGTCL4
cfg_tcl[5] <= <GND>
cfg_tcl[6] <= <GND>
cfg_tcl[7] <= <GND>
cfg_trfc[0] <= hmc_inst.O_CFGTRFC
cfg_trfc[1] <= hmc_inst.O_CFGTRFC1
cfg_trfc[2] <= hmc_inst.O_CFGTRFC2
cfg_trfc[3] <= hmc_inst.O_CFGTRFC3
cfg_trfc[4] <= hmc_inst.O_CFGTRFC4
cfg_trfc[5] <= hmc_inst.O_CFGTRFC5
cfg_trfc[6] <= hmc_inst.O_CFGTRFC6
cfg_trfc[7] <= hmc_inst.O_CFGTRFC7
cfg_trefi[0] <= hmc_inst.O_CFGTREFI
cfg_trefi[1] <= hmc_inst.O_CFGTREFI1
cfg_trefi[2] <= hmc_inst.O_CFGTREFI2
cfg_trefi[3] <= hmc_inst.O_CFGTREFI3
cfg_trefi[4] <= hmc_inst.O_CFGTREFI4
cfg_trefi[5] <= hmc_inst.O_CFGTREFI5
cfg_trefi[6] <= hmc_inst.O_CFGTREFI6
cfg_trefi[7] <= hmc_inst.O_CFGTREFI7
cfg_trefi[8] <= hmc_inst.O_CFGTREFI8
cfg_trefi[9] <= hmc_inst.O_CFGTREFI9
cfg_trefi[10] <= hmc_inst.O_CFGTREFI10
cfg_trefi[11] <= hmc_inst.O_CFGTREFI11
cfg_trefi[12] <= hmc_inst.O_CFGTREFI12
cfg_trefi[13] <= <GND>
cfg_trefi[14] <= <GND>
cfg_trefi[15] <= <GND>
cfg_twr[0] <= hmc_inst.O_CFGTWR
cfg_twr[1] <= hmc_inst.O_CFGTWR1
cfg_twr[2] <= hmc_inst.O_CFGTWR2
cfg_twr[3] <= hmc_inst.O_CFGTWR3
cfg_twr[4] <= <GND>
cfg_twr[5] <= <GND>
cfg_twr[6] <= <GND>
cfg_twr[7] <= <GND>
cfg_tmrd[0] <= hmc_inst.O_CFGTMRD
cfg_tmrd[1] <= hmc_inst.O_CFGTMRD1
cfg_tmrd[2] <= hmc_inst.O_CFGTMRD2
cfg_tmrd[3] <= hmc_inst.O_CFGTMRD3
cfg_tmrd[4] <= <GND>
cfg_tmrd[5] <= <GND>
cfg_tmrd[6] <= <GND>
cfg_tmrd[7] <= <GND>
cfg_coladdrwidth[0] <= hmc_inst.O_CFGCOLADDRWIDTH
cfg_coladdrwidth[1] <= hmc_inst.O_CFGCOLADDRWIDTH1
cfg_coladdrwidth[2] <= hmc_inst.O_CFGCOLADDRWIDTH2
cfg_coladdrwidth[3] <= hmc_inst.O_CFGCOLADDRWIDTH3
cfg_coladdrwidth[4] <= hmc_inst.O_CFGCOLADDRWIDTH4
cfg_coladdrwidth[5] <= <GND>
cfg_coladdrwidth[6] <= <GND>
cfg_coladdrwidth[7] <= <GND>
cfg_rowaddrwidth[0] <= hmc_inst.O_CFGROWADDRWIDTH
cfg_rowaddrwidth[1] <= hmc_inst.O_CFGROWADDRWIDTH1
cfg_rowaddrwidth[2] <= hmc_inst.O_CFGROWADDRWIDTH2
cfg_rowaddrwidth[3] <= hmc_inst.O_CFGROWADDRWIDTH3
cfg_rowaddrwidth[4] <= hmc_inst.O_CFGROWADDRWIDTH4
cfg_rowaddrwidth[5] <= <GND>
cfg_rowaddrwidth[6] <= <GND>
cfg_rowaddrwidth[7] <= <GND>
cfg_bankaddrwidth[0] <= hmc_inst.O_CFGBANKADDRWIDTH
cfg_bankaddrwidth[1] <= hmc_inst.O_CFGBANKADDRWIDTH1
cfg_bankaddrwidth[2] <= hmc_inst.O_CFGBANKADDRWIDTH2
cfg_bankaddrwidth[3] <= <GND>
cfg_bankaddrwidth[4] <= <GND>
cfg_bankaddrwidth[5] <= <GND>
cfg_bankaddrwidth[6] <= <GND>
cfg_bankaddrwidth[7] <= <GND>
cfg_csaddrwidth[0] <= hmc_inst.O_CFGCSADDRWIDTH
cfg_csaddrwidth[1] <= hmc_inst.O_CFGCSADDRWIDTH1
cfg_csaddrwidth[2] <= hmc_inst.O_CFGCSADDRWIDTH2
cfg_csaddrwidth[3] <= <GND>
cfg_csaddrwidth[4] <= <GND>
cfg_csaddrwidth[5] <= <GND>
cfg_csaddrwidth[6] <= <GND>
cfg_csaddrwidth[7] <= <GND>
cfg_interfacewidth[0] <= hmc_inst.O_CFGINTERFACEWIDTH
cfg_interfacewidth[1] <= hmc_inst.O_CFGINTERFACEWIDTH1
cfg_interfacewidth[2] <= hmc_inst.O_CFGINTERFACEWIDTH2
cfg_interfacewidth[3] <= hmc_inst.O_CFGINTERFACEWIDTH3
cfg_interfacewidth[4] <= hmc_inst.O_CFGINTERFACEWIDTH4
cfg_interfacewidth[5] <= hmc_inst.O_CFGINTERFACEWIDTH5
cfg_interfacewidth[6] <= hmc_inst.O_CFGINTERFACEWIDTH6
cfg_interfacewidth[7] <= hmc_inst.O_CFGINTERFACEWIDTH7
cfg_devicewidth[0] <= hmc_inst.O_CFGDEVICEWIDTH
cfg_devicewidth[1] <= hmc_inst.O_CFGDEVICEWIDTH1
cfg_devicewidth[2] <= hmc_inst.O_CFGDEVICEWIDTH2
cfg_devicewidth[3] <= hmc_inst.O_CFGDEVICEWIDTH3
cfg_devicewidth[4] <= <GND>
cfg_devicewidth[5] <= <GND>
cfg_devicewidth[6] <= <GND>
cfg_devicewidth[7] <= <GND>
local_refresh_ack <= hmc_inst.O_LOCALREFRESHACK
local_powerdn_ack <= hmc_inst.O_LOCALPOWERDOWNACK
local_self_rfsh_ack <= hmc_inst.O_LOCALSELFRFSHACK
local_deep_powerdn_ack <= hmc_inst.O_LOCALDEEPPOWERDNACK
local_refresh_req => hmc_inst.I_LOCALREFRESHREQ
local_refresh_chip[0] => hmc_inst.I_LOCALREFRESHCHIP
local_refresh_chip[0] => hmc_inst.I_LOCALREFRESHCHIP1
local_self_rfsh_req => hmc_inst.I_LOCALSELFRFSHREQ
local_self_rfsh_chip[0] => hmc_inst.I_LOCALSELFRFSHCHIP
local_self_rfsh_chip[0] => hmc_inst.I_LOCALSELFRFSHCHIP1
local_deep_powerdn_req => hmc_inst.I_LOCALDEEPPOWERDNREQ
local_deep_powerdn_chip[0] => hmc_inst.I_LOCALDEEPPOWERDNCHIP
local_deep_powerdn_chip[0] => hmc_inst.I_LOCALDEEPPOWERDNCHIP1
local_multicast => ~NO_FANOUT~
local_priority => ~NO_FANOUT~
local_init_done <= hmc_inst.O_LOCALINITDONE
local_cal_success <= io_intaficalsuccess.DB_MAX_OUTPUT_PORT_TYPE
local_cal_fail <= io_intaficalfail.DB_MAX_OUTPUT_PORT_TYPE
csr_read_req => hmc_inst.I_MMRREADREQ
csr_write_req => hmc_inst.I_MMRWRITEREQ
csr_addr[0] => hmc_inst.I_MMRADDR
csr_addr[1] => hmc_inst.I_MMRADDR1
csr_addr[2] => hmc_inst.I_MMRADDR2
csr_addr[3] => hmc_inst.I_MMRADDR3
csr_addr[4] => hmc_inst.I_MMRADDR4
csr_addr[5] => hmc_inst.I_MMRADDR5
csr_addr[6] => hmc_inst.I_MMRADDR6
csr_addr[7] => hmc_inst.I_MMRADDR7
csr_addr[8] => hmc_inst.I_MMRADDR8
csr_addr[9] => hmc_inst.I_MMRADDR9
csr_wdata[0] => hmc_inst.I_MMRWDATA
csr_wdata[1] => hmc_inst.I_MMRWDATA1
csr_wdata[2] => hmc_inst.I_MMRWDATA2
csr_wdata[3] => hmc_inst.I_MMRWDATA3
csr_wdata[4] => hmc_inst.I_MMRWDATA4
csr_wdata[5] => hmc_inst.I_MMRWDATA5
csr_wdata[6] => hmc_inst.I_MMRWDATA6
csr_wdata[7] => hmc_inst.I_MMRWDATA7
csr_rdata[0] <= hmc_inst.O_MMRRDATA
csr_rdata[1] <= hmc_inst.O_MMRRDATA1
csr_rdata[2] <= hmc_inst.O_MMRRDATA2
csr_rdata[3] <= hmc_inst.O_MMRRDATA3
csr_rdata[4] <= hmc_inst.O_MMRRDATA4
csr_rdata[5] <= hmc_inst.O_MMRRDATA5
csr_rdata[6] <= hmc_inst.O_MMRRDATA6
csr_rdata[7] <= hmc_inst.O_MMRRDATA7
csr_be[0] => hmc_inst.I_MMRBE
csr_rdata_valid <= hmc_inst.O_MMRRDATAVALID
csr_waitrequest <= hmc_inst.O_MMRWAITREQUEST
bonding_out_1[0] <= hmc_inst.O_BONDINGOUT1
bonding_out_1[1] <= hmc_inst.O_BONDINGOUT11
bonding_out_1[2] <= hmc_inst.O_BONDINGOUT12
bonding_out_1[3] <= hmc_inst.O_BONDINGOUT13
bonding_in_1[0] => hmc_inst.I_BONDINGIN1
bonding_in_1[1] => hmc_inst.I_BONDINGIN11
bonding_in_1[2] => hmc_inst.I_BONDINGIN12
bonding_in_1[3] => hmc_inst.I_BONDINGIN13
bonding_out_2[0] <= hmc_inst.O_BONDINGOUT2
bonding_out_2[1] <= hmc_inst.O_BONDINGOUT21
bonding_out_2[2] <= hmc_inst.O_BONDINGOUT22
bonding_out_2[3] <= hmc_inst.O_BONDINGOUT23
bonding_out_2[4] <= hmc_inst.O_BONDINGOUT24
bonding_out_2[5] <= hmc_inst.O_BONDINGOUT25
bonding_in_2[0] => hmc_inst.I_BONDINGIN2
bonding_in_2[1] => hmc_inst.I_BONDINGIN21
bonding_in_2[2] => hmc_inst.I_BONDINGIN22
bonding_in_2[3] => hmc_inst.I_BONDINGIN23
bonding_in_2[4] => hmc_inst.I_BONDINGIN24
bonding_in_2[5] => hmc_inst.I_BONDINGIN25
bonding_out_3[0] <= hmc_inst.O_BONDINGOUT3
bonding_out_3[1] <= hmc_inst.O_BONDINGOUT31
bonding_out_3[2] <= hmc_inst.O_BONDINGOUT32
bonding_out_3[3] <= hmc_inst.O_BONDINGOUT33
bonding_out_3[4] <= hmc_inst.O_BONDINGOUT34
bonding_out_3[5] <= hmc_inst.O_BONDINGOUT35
bonding_in_3[0] => hmc_inst.I_BONDINGIN3
bonding_in_3[1] => hmc_inst.I_BONDINGIN31
bonding_in_3[2] => hmc_inst.I_BONDINGIN32
bonding_in_3[3] => hmc_inst.I_BONDINGIN33
bonding_in_3[4] => hmc_inst.I_BONDINGIN34
bonding_in_3[5] => hmc_inst.I_BONDINGIN35
io_intaficalfail => local_cal_fail.DATAIN
ctl_init_req <= hmc_inst.O_CTLINITREQ
local_sts_ctl_empty <= hmc_inst.O_LOCALSTSCTLEMPTY
io_intaficalsuccess => local_cal_success.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|altera_mem_if_oct_cyclonev:oct
oct_rzqin => sd1a_0.I_RZQIN
parallelterminationcontrol[0] <= sd2a_0.O_PARALLELTERMINATIONCONTROL
parallelterminationcontrol[1] <= sd2a_0.O_PARALLELTERMINATIONCONTROL1
parallelterminationcontrol[2] <= sd2a_0.O_PARALLELTERMINATIONCONTROL2
parallelterminationcontrol[3] <= sd2a_0.O_PARALLELTERMINATIONCONTROL3
parallelterminationcontrol[4] <= sd2a_0.O_PARALLELTERMINATIONCONTROL4
parallelterminationcontrol[5] <= sd2a_0.O_PARALLELTERMINATIONCONTROL5
parallelterminationcontrol[6] <= sd2a_0.O_PARALLELTERMINATIONCONTROL6
parallelterminationcontrol[7] <= sd2a_0.O_PARALLELTERMINATIONCONTROL7
parallelterminationcontrol[8] <= sd2a_0.O_PARALLELTERMINATIONCONTROL8
parallelterminationcontrol[9] <= sd2a_0.O_PARALLELTERMINATIONCONTROL9
parallelterminationcontrol[10] <= sd2a_0.O_PARALLELTERMINATIONCONTROL10
parallelterminationcontrol[11] <= sd2a_0.O_PARALLELTERMINATIONCONTROL11
parallelterminationcontrol[12] <= sd2a_0.O_PARALLELTERMINATIONCONTROL12
parallelterminationcontrol[13] <= sd2a_0.O_PARALLELTERMINATIONCONTROL13
parallelterminationcontrol[14] <= sd2a_0.O_PARALLELTERMINATIONCONTROL14
parallelterminationcontrol[15] <= sd2a_0.O_PARALLELTERMINATIONCONTROL15
seriesterminationcontrol[0] <= sd2a_0.O_SERIESTERMINATIONCONTROL
seriesterminationcontrol[1] <= sd2a_0.O_SERIESTERMINATIONCONTROL1
seriesterminationcontrol[2] <= sd2a_0.O_SERIESTERMINATIONCONTROL2
seriesterminationcontrol[3] <= sd2a_0.O_SERIESTERMINATIONCONTROL3
seriesterminationcontrol[4] <= sd2a_0.O_SERIESTERMINATIONCONTROL4
seriesterminationcontrol[5] <= sd2a_0.O_SERIESTERMINATIONCONTROL5
seriesterminationcontrol[6] <= sd2a_0.O_SERIESTERMINATIONCONTROL6
seriesterminationcontrol[7] <= sd2a_0.O_SERIESTERMINATIONCONTROL7
seriesterminationcontrol[8] <= sd2a_0.O_SERIESTERMINATIONCONTROL8
seriesterminationcontrol[9] <= sd2a_0.O_SERIESTERMINATIONCONTROL9
seriesterminationcontrol[10] <= sd2a_0.O_SERIESTERMINATIONCONTROL10
seriesterminationcontrol[11] <= sd2a_0.O_SERIESTERMINATIONCONTROL11
seriesterminationcontrol[12] <= sd2a_0.O_SERIESTERMINATIONCONTROL12
seriesterminationcontrol[13] <= sd2a_0.O_SERIESTERMINATIONCONTROL13
seriesterminationcontrol[14] <= sd2a_0.O_SERIESTERMINATIONCONTROL14
seriesterminationcontrol[15] <= sd2a_0.O_SERIESTERMINATIONCONTROL15


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_hps_0:hps_0|ulight_fifo_hps_0_hps_io:hps_io|ulight_fifo_hps_0_hps_io_border:border|hps_sdram:hps_sdram_inst|altera_mem_if_dll_cyclonev:dll
clk => dll_wys_m.CLK
dll_pll_locked => dll_wys_m.ALOAD
dll_delayctrl[0] <= dll_wys_m.DELAYCTRLOUT
dll_delayctrl[1] <= dll_wys_m.DELAYCTRLOUT1
dll_delayctrl[2] <= dll_wys_m.DELAYCTRLOUT2
dll_delayctrl[3] <= dll_wys_m.DELAYCTRLOUT3
dll_delayctrl[4] <= dll_wys_m.DELAYCTRLOUT4
dll_delayctrl[5] <= dll_wys_m.DELAYCTRLOUT5
dll_delayctrl[6] <= dll_wys_m.DELAYCTRLOUT6


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_led_pio_test:led_pio_test
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out[0].CLK
clk => data_out[1].CLK
clk => data_out[2].CLK
clk => data_out[3].CLK
clk => data_out[4].CLK
reset_n => data_out[0].ACLR
reset_n => data_out[1].ACLR
reset_n => data_out[2].ACLR
reset_n => data_out[3].ACLR
reset_n => data_out[4].ACLR
write_n => always0.IN1
writedata[0] => data_out[0].DATAIN
writedata[1] => data_out[1].DATAIN
writedata[2] => data_out[2].DATAIN
writedata[3] => data_out[3].DATAIN
writedata[4] => data_out[4].DATAIN
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port[0] <= data_out[0].DB_MAX_OUTPUT_PORT_TYPE
out_port[1] <= data_out[1].DB_MAX_OUTPUT_PORT_TYPE
out_port[2] <= data_out[2].DB_MAX_OUTPUT_PORT_TYPE
out_port[3] <= data_out[3].DB_MAX_OUTPUT_PORT_TYPE
out_port[4] <= data_out[4].DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:link_disable
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:link_start
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0
refclk => refclk.IN1
rst => rst.IN1
outclk_0 <= altera_pll:altera_pll_i.outclk
locked <= altera_pll:altera_pll_i.locked
refclk1 => refclk1.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i
refclk => refclk.IN1
refclk1 => refclk1.IN1
fbclk => ~NO_FANOUT~
rst => rst.IN1
phase_en => ~NO_FANOUT~
updn => ~NO_FANOUT~
num_phase_shifts[0] => ~NO_FANOUT~
num_phase_shifts[1] => ~NO_FANOUT~
num_phase_shifts[2] => ~NO_FANOUT~
scanclk => scanclk.IN1
cntsel[0] => cntsel_temp[0].DATAIN
cntsel[1] => cntsel_temp[1].DATAIN
cntsel[2] => cntsel_temp[2].DATAIN
cntsel[3] => cntsel_temp[3].DATAIN
cntsel[4] => cntsel_temp[4].DATAIN
reconfig_to_pll[0] => ~NO_FANOUT~
reconfig_to_pll[1] => ~NO_FANOUT~
reconfig_to_pll[2] => ~NO_FANOUT~
reconfig_to_pll[3] => reconfig_to_pll[3].IN1
reconfig_to_pll[4] => ~NO_FANOUT~
reconfig_to_pll[5] => ~NO_FANOUT~
reconfig_to_pll[6] => ~NO_FANOUT~
reconfig_to_pll[7] => ~NO_FANOUT~
reconfig_to_pll[8] => ~NO_FANOUT~
reconfig_to_pll[9] => ~NO_FANOUT~
reconfig_to_pll[10] => ~NO_FANOUT~
reconfig_to_pll[11] => ~NO_FANOUT~
reconfig_to_pll[12] => ~NO_FANOUT~
reconfig_to_pll[13] => ~NO_FANOUT~
reconfig_to_pll[14] => ~NO_FANOUT~
reconfig_to_pll[15] => ~NO_FANOUT~
reconfig_to_pll[16] => ~NO_FANOUT~
reconfig_to_pll[17] => ~NO_FANOUT~
reconfig_to_pll[18] => ~NO_FANOUT~
reconfig_to_pll[19] => ~NO_FANOUT~
reconfig_to_pll[20] => ~NO_FANOUT~
reconfig_to_pll[21] => ~NO_FANOUT~
reconfig_to_pll[22] => ~NO_FANOUT~
reconfig_to_pll[23] => ~NO_FANOUT~
reconfig_to_pll[24] => ~NO_FANOUT~
reconfig_to_pll[25] => ~NO_FANOUT~
reconfig_to_pll[26] => ~NO_FANOUT~
reconfig_to_pll[27] => ~NO_FANOUT~
reconfig_to_pll[28] => ~NO_FANOUT~
reconfig_to_pll[29] => ~NO_FANOUT~
reconfig_to_pll[30] => ~NO_FANOUT~
reconfig_to_pll[31] => ~NO_FANOUT~
reconfig_to_pll[32] => ~NO_FANOUT~
reconfig_to_pll[33] => ~NO_FANOUT~
reconfig_to_pll[34] => ~NO_FANOUT~
reconfig_to_pll[35] => ~NO_FANOUT~
reconfig_to_pll[36] => ~NO_FANOUT~
reconfig_to_pll[37] => ~NO_FANOUT~
reconfig_to_pll[38] => ~NO_FANOUT~
reconfig_to_pll[39] => ~NO_FANOUT~
reconfig_to_pll[40] => ~NO_FANOUT~
reconfig_to_pll[41] => ~NO_FANOUT~
reconfig_to_pll[42] => ~NO_FANOUT~
reconfig_to_pll[43] => ~NO_FANOUT~
reconfig_to_pll[44] => ~NO_FANOUT~
reconfig_to_pll[45] => ~NO_FANOUT~
reconfig_to_pll[46] => ~NO_FANOUT~
reconfig_to_pll[47] => ~NO_FANOUT~
reconfig_to_pll[48] => ~NO_FANOUT~
reconfig_to_pll[49] => ~NO_FANOUT~
reconfig_to_pll[50] => ~NO_FANOUT~
reconfig_to_pll[51] => ~NO_FANOUT~
reconfig_to_pll[52] => ~NO_FANOUT~
reconfig_to_pll[53] => ~NO_FANOUT~
reconfig_to_pll[54] => ~NO_FANOUT~
reconfig_to_pll[55] => ~NO_FANOUT~
reconfig_to_pll[56] => ~NO_FANOUT~
reconfig_to_pll[57] => ~NO_FANOUT~
reconfig_to_pll[58] => ~NO_FANOUT~
reconfig_to_pll[59] => ~NO_FANOUT~
reconfig_to_pll[60] => ~NO_FANOUT~
reconfig_to_pll[61] => ~NO_FANOUT~
reconfig_to_pll[62] => ~NO_FANOUT~
reconfig_to_pll[63] => ~NO_FANOUT~
extswitch => extswitch.IN1
adjpllin => adjpllin.IN1
cclk => cclk.IN1
outclk[0] <= altera_cyclonev_pll:cyclonev_pll.divclk
fboutclk <= altera_cyclonev_pll:cyclonev_pll.extclk
locked <= altera_cyclonev_pll:cyclonev_pll.lock
phase_done <= <GND>
reconfig_from_pll[0] <= reconfig_from_pll_wire[0].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[1] <= reconfig_from_pll_wire[1].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[2] <= reconfig_from_pll_wire[2].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[3] <= reconfig_from_pll_wire[3].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[4] <= reconfig_from_pll_wire[4].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[5] <= reconfig_from_pll_wire[5].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[6] <= reconfig_from_pll_wire[6].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[7] <= reconfig_from_pll_wire[7].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[8] <= reconfig_from_pll_wire[8].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[9] <= reconfig_from_pll_wire[9].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[10] <= reconfig_from_pll_wire[10].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[11] <= reconfig_from_pll_wire[11].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[12] <= reconfig_from_pll_wire[12].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[13] <= reconfig_from_pll_wire[13].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[14] <= reconfig_from_pll_wire[14].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[15] <= reconfig_from_pll_wire[15].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[16] <= altera_cyclonev_pll:cyclonev_pll.lock
reconfig_from_pll[17] <= reconfig_from_pll_wire[17].DB_MAX_OUTPUT_PORT_TYPE
reconfig_from_pll[18] <= <GND>
reconfig_from_pll[19] <= <GND>
reconfig_from_pll[20] <= <GND>
reconfig_from_pll[21] <= <GND>
reconfig_from_pll[22] <= <GND>
reconfig_from_pll[23] <= <GND>
reconfig_from_pll[24] <= <GND>
reconfig_from_pll[25] <= <GND>
reconfig_from_pll[26] <= <GND>
reconfig_from_pll[27] <= <GND>
reconfig_from_pll[28] <= <GND>
reconfig_from_pll[29] <= <GND>
reconfig_from_pll[30] <= <GND>
reconfig_from_pll[31] <= <GND>
reconfig_from_pll[32] <= <GND>
reconfig_from_pll[33] <= <GND>
reconfig_from_pll[34] <= <GND>
reconfig_from_pll[35] <= <GND>
reconfig_from_pll[36] <= <GND>
reconfig_from_pll[37] <= <GND>
reconfig_from_pll[38] <= <GND>
reconfig_from_pll[39] <= <GND>
reconfig_from_pll[40] <= <GND>
reconfig_from_pll[41] <= <GND>
reconfig_from_pll[42] <= <GND>
reconfig_from_pll[43] <= <GND>
reconfig_from_pll[44] <= <GND>
reconfig_from_pll[45] <= <GND>
reconfig_from_pll[46] <= <GND>
reconfig_from_pll[47] <= <GND>
reconfig_from_pll[48] <= <GND>
reconfig_from_pll[49] <= <GND>
reconfig_from_pll[50] <= <GND>
reconfig_from_pll[51] <= <GND>
reconfig_from_pll[52] <= <GND>
reconfig_from_pll[53] <= <GND>
reconfig_from_pll[54] <= <GND>
reconfig_from_pll[55] <= <GND>
reconfig_from_pll[56] <= <GND>
reconfig_from_pll[57] <= <GND>
reconfig_from_pll[58] <= <GND>
reconfig_from_pll[59] <= <GND>
reconfig_from_pll[60] <= <GND>
reconfig_from_pll[61] <= <GND>
reconfig_from_pll[62] <= <GND>
reconfig_from_pll[63] <= <GND>
activeclk <= altera_cyclonev_pll:cyclonev_pll.pllclksel
clkbad[0] <= altera_cyclonev_pll:cyclonev_pll.clk0bad
clkbad[1] <= altera_cyclonev_pll:cyclonev_pll.clk1bad
phout[0] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[1] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[2] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[3] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[4] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[5] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[6] <= altera_cyclonev_pll:cyclonev_pll.phout_0
phout[7] <= altera_cyclonev_pll:cyclonev_pll.phout_0
lvds_clk[0] <= <GND>
lvds_clk[1] <= <GND>
loaden[0] <= <GND>
loaden[1] <= <GND>
extclk_out[0] <= <GND>
extclk_out[1] <= <GND>
cascade_out[0] <= altera_cyclonev_pll:cyclonev_pll.cascade_out
zdbfbclk <> <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|dps_extra_kick:dps_extra_inst
clk => dps_current_state~1.DATAIN
reset => dps_current_state.OUTPUTSELECT
reset => dps_current_state.OUTPUTSELECT
reset => dps_current_state.OUTPUTSELECT
reset => dps_current_state.OUTPUTSELECT
reset => dps_current_state.OUTPUTSELECT
reset => dps_current_state.OUTPUTSELECT
phase_done => Selector0.IN1
phase_done => int_phase_en.DATAB
phase_done => dps_next_state.PHASE_DONE_LOW_0.DATAB
phase_done => dps_next_state.PHASE_DONE_LOW_1.DATAB
phase_done => dps_next_state.PHASE_DONE_LOW_2.DATAB
phase_done => dps_next_state.PHASE_DONE_LOW_3.DATAB
phase_done => dps_next_state.PHASE_DONE_LOW_4.DATAB
usr_phase_en => phase_en.IN1
phase_en <= phase_en.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|dprio_init:dprio_init_inst
clk => scanen~reg0.CLK
clk => atpgmode~reg0.CLK
clk => dprio_init_done~reg0.CLK
clk => ser_shift_load~reg0.CLK
clk => mdio_dis~reg0.CLK
clk => count[0].CLK
clk => count[1].CLK
clk => count[2].CLK
clk => count[3].CLK
clk => count[4].CLK
clk => count[5].CLK
clk => count[6].CLK
clk => init_done_forever.CLK
clk => rst_n[0].CLK
clk => rst_n[1].CLK
reset_n => dprio_write.IN1
reset_n => rst_n[0].ACLR
reset_n => rst_n[1].ACLR
dprio_address[0] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_address[1] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_address[2] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_address[3] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_address[4] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_address[5] <= dprio_address.DB_MAX_OUTPUT_PORT_TYPE
dprio_byteen[0] <= count[6].DB_MAX_OUTPUT_PORT_TYPE
dprio_byteen[1] <= count[6].DB_MAX_OUTPUT_PORT_TYPE
dprio_write <= dprio_write.DB_MAX_OUTPUT_PORT_TYPE
dprio_writedata[0] <= <GND>
dprio_writedata[1] <= <GND>
dprio_writedata[2] <= <GND>
dprio_writedata[3] <= <GND>
dprio_writedata[4] <= <GND>
dprio_writedata[5] <= <GND>
dprio_writedata[6] <= <GND>
dprio_writedata[7] <= <GND>
dprio_writedata[8] <= <GND>
dprio_writedata[9] <= <GND>
dprio_writedata[10] <= <GND>
dprio_writedata[11] <= <GND>
dprio_writedata[12] <= <GND>
dprio_writedata[13] <= <GND>
dprio_writedata[14] <= <GND>
dprio_writedata[15] <= <GND>
atpgmode <= atpgmode~reg0.DB_MAX_OUTPUT_PORT_TYPE
mdio_dis <= mdio_dis~reg0.DB_MAX_OUTPUT_PORT_TYPE
scanen <= scanen~reg0.DB_MAX_OUTPUT_PORT_TYPE
ser_shift_load <= ser_shift_load~reg0.DB_MAX_OUTPUT_PORT_TYPE
dprio_init_done <= dprio_init_done~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_pll_dps_lcell_comb:lcell_cntsel_int_0
dataa => lcell_inst.DATAA
datab => lcell_inst.DATAB
datac => lcell_inst.DATAC
datad => lcell_inst.DATAD
datae => lcell_inst.DATAE
dataf => lcell_inst.DATAF
combout <= lcell_inst.COMBOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_pll_dps_lcell_comb:lcell_cntsel_int_1
dataa => lcell_inst.DATAA
datab => lcell_inst.DATAB
datac => lcell_inst.DATAC
datad => lcell_inst.DATAD
datae => lcell_inst.DATAE
dataf => lcell_inst.DATAF
combout <= lcell_inst.COMBOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_pll_dps_lcell_comb:lcell_cntsel_int_2
dataa => lcell_inst.DATAA
datab => lcell_inst.DATAB
datac => lcell_inst.DATAC
datad => lcell_inst.DATAD
datae => lcell_inst.DATAE
dataf => lcell_inst.DATAF
combout <= lcell_inst.COMBOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_pll_dps_lcell_comb:lcell_cntsel_int_3
dataa => lcell_inst.DATAA
datab => lcell_inst.DATAB
datac => lcell_inst.DATAC
datad => lcell_inst.DATAD
datae => lcell_inst.DATAE
dataf => lcell_inst.DATAF
combout <= lcell_inst.COMBOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_pll_dps_lcell_comb:lcell_cntsel_int_4
dataa => lcell_inst.DATAA
datab => lcell_inst.DATAB
datac => lcell_inst.DATAC
datad => lcell_inst.DATAD
datae => lcell_inst.DATAE
dataf => lcell_inst.DATAF
combout <= lcell_inst.COMBOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_cyclonev_pll:cyclonev_pll
phout_0[0] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[1] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[2] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[3] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[4] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[5] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[6] <= altera_cyclonev_pll_base:fpll_0.phout
phout_0[7] <= altera_cyclonev_pll_base:fpll_0.phout
adjpllin[0] => adjpllin[0].IN1
cclk[0] => cclk[0].IN1
coreclkin[0] => coreclkin[0].IN1
extswitch[0] => extswitch[0].IN1
iqtxrxclkin[0] => iqtxrxclkin[0].IN1
plliqclkin[0] => plliqclkin[0].IN1
rxiqclkin[0] => rxiqclkin[0].IN1
clkin[0] => clkin[0].IN1
clkin[1] => clkin[1].IN1
clkin[2] => clkin[2].IN1
clkin[3] => clkin[3].IN1
refiqclk_0[0] => refiqclk_0[0].IN1
refiqclk_0[1] => refiqclk_0[1].IN1
clk0bad[0] <= altera_cyclonev_pll_base:fpll_0.clk0bad
clk1bad[0] <= altera_cyclonev_pll_base:fpll_0.clk1bad
pllclksel[0] <= altera_cyclonev_pll_base:fpll_0.pllclksel
atpgmode[0] => atpgmode[0].IN1
clk[0] => clk[0].IN1
fpllcsrtest[0] => fpllcsrtest[0].IN1
iocsrclkin[0] => iocsrclkin[0].IN1
iocsrdatain[0] => iocsrdatain[0].IN1
iocsren[0] => iocsren[0].IN1
iocsrrstn[0] => iocsrrstn[0].IN1
mdiodis[0] => mdiodis[0].IN1
phaseen[0] => phaseen[0].IN1
read[0] => read[0].IN1
rstn[0] => rstn[0].IN1
scanen[0] => scanen[0].IN1
sershiftload[0] => sershiftload[0].IN1
shiftdonei[0] => shiftdonei[0].IN1
updn[0] => updn[0].IN1
write[0] => write[0].IN1
addr_0[0] => addr_0[0].IN1
addr_0[1] => addr_0[1].IN1
addr_0[2] => addr_0[2].IN1
addr_0[3] => addr_0[3].IN1
addr_0[4] => addr_0[4].IN1
addr_0[5] => addr_0[5].IN1
byteen_0[0] => byteen_0[0].IN1
byteen_0[1] => byteen_0[1].IN1
cntsel_0[0] => cntsel_0[0].IN1
cntsel_0[1] => cntsel_0[1].IN1
cntsel_0[2] => cntsel_0[2].IN1
cntsel_0[3] => cntsel_0[3].IN1
cntsel_0[4] => cntsel_0[4].IN1
din_0[0] => din_0[0].IN1
din_0[1] => din_0[1].IN1
din_0[2] => din_0[2].IN1
din_0[3] => din_0[3].IN1
din_0[4] => din_0[4].IN1
din_0[5] => din_0[5].IN1
din_0[6] => din_0[6].IN1
din_0[7] => din_0[7].IN1
din_0[8] => din_0[8].IN1
din_0[9] => din_0[9].IN1
din_0[10] => din_0[10].IN1
din_0[11] => din_0[11].IN1
din_0[12] => din_0[12].IN1
din_0[13] => din_0[13].IN1
din_0[14] => din_0[14].IN1
din_0[15] => din_0[15].IN1
blockselect[0] <= altera_cyclonev_pll_base:fpll_0.blockselect
iocsrdataout[0] <= altera_cyclonev_pll_base:fpll_0.iocsrdataout
iocsrenbuf[0] <= altera_cyclonev_pll_base:fpll_0.iocsrenbuf
iocsrrstnbuf[0] <= altera_cyclonev_pll_base:fpll_0.iocsrrstnbuf
phasedone[0] <= altera_cyclonev_pll_base:fpll_0.phasedone
dout_0[0] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[1] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[2] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[3] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[4] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[5] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[6] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[7] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[8] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[9] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[10] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[11] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[12] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[13] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[14] <= altera_cyclonev_pll_base:fpll_0.dout
dout_0[15] <= altera_cyclonev_pll_base:fpll_0.dout
dprioout_0[0] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[1] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[2] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[3] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[4] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[5] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[6] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[7] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[8] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[9] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[10] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[11] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[12] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[13] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[14] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[15] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[16] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[17] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[18] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[19] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[20] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[21] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[22] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[23] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[24] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[25] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[26] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[27] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[28] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[29] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[30] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[31] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[32] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[33] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[34] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[35] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[36] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[37] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[38] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[39] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[40] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[41] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[42] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[43] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[44] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[45] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[46] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[47] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[48] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[49] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[50] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[51] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[52] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[53] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[54] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[55] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[56] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[57] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[58] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[59] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[60] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[61] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[62] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[63] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[64] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[65] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[66] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[67] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[68] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[69] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[70] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[71] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[72] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[73] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[74] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[75] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[76] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[77] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[78] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[79] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[80] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[81] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[82] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[83] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[84] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[85] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[86] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[87] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[88] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[89] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[90] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[91] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[92] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[93] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[94] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[95] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[96] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[97] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[98] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[99] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[100] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[101] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[102] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[103] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[104] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[105] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[106] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[107] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[108] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[109] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[110] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[111] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[112] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[113] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[114] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[115] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[116] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[117] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[118] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[119] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[120] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[121] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[122] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[123] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[124] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[125] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[126] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[127] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[128] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[129] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[130] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[131] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[132] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[133] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[134] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[135] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[136] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[137] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[138] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[139] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[140] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[141] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[142] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[143] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[144] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[145] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[146] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[147] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[148] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[149] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[150] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[151] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[152] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[153] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[154] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[155] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[156] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[157] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[158] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[159] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[160] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[161] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[162] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[163] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[164] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[165] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[166] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[167] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[168] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[169] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[170] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[171] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[172] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[173] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[174] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[175] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[176] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[177] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[178] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[179] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[180] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[181] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[182] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[183] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[184] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[185] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[186] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[187] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[188] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[189] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[190] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[191] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[192] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[193] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[194] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[195] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[196] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[197] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[198] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[199] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[200] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[201] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[202] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[203] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[204] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[205] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[206] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[207] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[208] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[209] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[210] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[211] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[212] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[213] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[214] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[215] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[216] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[217] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[218] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[219] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[220] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[221] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[222] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[223] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[224] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[225] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[226] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[227] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[228] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[229] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[230] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[231] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[232] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[233] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[234] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[235] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[236] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[237] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[238] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[239] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[240] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[241] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[242] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[243] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[244] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[245] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[246] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[247] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[248] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[249] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[250] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[251] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[252] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[253] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[254] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[255] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[256] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[257] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[258] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[259] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[260] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[261] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[262] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[263] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[264] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[265] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[266] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[267] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[268] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[269] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[270] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[271] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[272] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[273] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[274] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[275] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[276] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[277] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[278] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[279] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[280] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[281] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[282] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[283] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[284] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[285] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[286] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[287] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[288] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[289] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[290] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[291] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[292] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[293] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[294] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[295] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[296] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[297] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[298] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[299] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[300] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[301] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[302] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[303] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[304] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[305] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[306] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[307] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[308] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[309] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[310] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[311] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[312] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[313] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[314] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[315] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[316] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[317] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[318] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[319] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[320] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[321] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[322] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[323] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[324] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[325] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[326] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[327] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[328] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[329] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[330] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[331] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[332] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[333] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[334] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[335] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[336] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[337] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[338] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[339] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[340] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[341] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[342] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[343] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[344] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[345] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[346] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[347] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[348] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[349] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[350] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[351] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[352] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[353] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[354] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[355] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[356] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[357] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[358] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[359] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[360] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[361] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[362] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[363] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[364] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[365] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[366] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[367] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[368] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[369] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[370] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[371] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[372] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[373] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[374] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[375] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[376] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[377] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[378] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[379] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[380] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[381] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[382] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[383] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[384] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[385] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[386] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[387] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[388] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[389] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[390] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[391] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[392] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[393] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[394] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[395] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[396] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[397] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[398] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[399] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[400] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[401] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[402] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[403] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[404] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[405] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[406] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[407] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[408] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[409] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[410] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[411] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[412] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[413] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[414] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[415] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[416] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[417] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[418] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[419] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[420] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[421] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[422] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[423] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[424] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[425] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[426] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[427] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[428] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[429] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[430] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[431] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[432] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[433] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[434] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[435] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[436] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[437] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[438] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[439] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[440] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[441] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[442] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[443] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[444] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[445] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[446] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[447] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[448] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[449] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[450] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[451] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[452] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[453] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[454] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[455] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[456] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[457] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[458] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[459] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[460] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[461] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[462] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[463] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[464] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[465] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[466] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[467] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[468] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[469] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[470] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[471] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[472] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[473] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[474] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[475] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[476] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[477] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[478] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[479] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[480] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[481] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[482] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[483] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[484] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[485] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[486] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[487] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[488] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[489] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[490] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[491] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[492] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[493] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[494] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[495] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[496] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[497] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[498] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[499] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[500] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[501] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[502] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[503] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[504] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[505] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[506] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[507] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[508] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[509] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[510] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[511] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[512] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[513] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[514] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[515] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[516] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[517] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[518] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[519] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[520] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[521] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[522] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[523] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[524] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[525] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[526] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[527] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[528] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[529] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[530] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[531] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[532] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[533] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[534] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[535] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[536] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[537] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[538] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[539] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[540] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[541] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[542] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[543] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[544] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[545] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[546] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[547] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[548] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[549] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[550] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[551] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[552] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[553] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[554] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[555] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[556] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[557] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[558] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[559] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[560] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[561] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[562] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[563] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[564] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[565] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[566] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[567] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[568] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[569] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[570] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[571] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[572] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[573] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[574] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[575] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[576] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[577] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[578] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[579] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[580] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[581] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[582] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[583] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[584] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[585] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[586] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[587] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[588] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[589] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[590] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[591] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[592] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[593] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[594] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[595] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[596] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[597] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[598] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[599] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[600] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[601] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[602] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[603] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[604] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[605] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[606] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[607] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[608] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[609] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[610] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[611] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[612] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[613] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[614] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[615] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[616] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[617] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[618] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[619] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[620] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[621] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[622] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[623] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[624] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[625] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[626] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[627] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[628] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[629] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[630] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[631] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[632] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[633] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[634] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[635] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[636] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[637] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[638] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[639] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[640] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[641] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[642] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[643] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[644] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[645] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[646] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[647] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[648] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[649] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[650] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[651] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[652] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[653] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[654] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[655] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[656] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[657] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[658] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[659] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[660] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[661] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[662] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[663] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[664] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[665] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[666] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[667] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[668] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[669] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[670] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[671] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[672] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[673] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[674] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[675] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[676] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[677] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[678] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[679] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[680] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[681] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[682] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[683] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[684] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[685] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[686] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[687] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[688] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[689] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[690] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[691] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[692] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[693] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[694] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[695] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[696] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[697] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[698] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[699] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[700] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[701] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[702] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[703] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[704] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[705] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[706] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[707] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[708] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[709] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[710] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[711] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[712] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[713] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[714] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[715] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[716] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[717] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[718] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[719] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[720] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[721] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[722] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[723] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[724] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[725] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[726] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[727] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[728] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[729] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[730] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[731] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[732] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[733] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[734] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[735] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[736] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[737] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[738] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[739] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[740] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[741] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[742] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[743] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[744] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[745] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[746] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[747] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[748] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[749] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[750] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[751] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[752] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[753] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[754] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[755] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[756] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[757] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[758] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[759] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[760] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[761] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[762] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[763] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[764] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[765] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[766] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[767] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[768] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[769] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[770] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[771] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[772] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[773] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[774] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[775] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[776] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[777] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[778] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[779] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[780] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[781] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[782] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[783] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[784] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[785] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[786] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[787] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[788] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[789] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[790] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[791] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[792] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[793] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[794] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[795] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[796] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[797] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[798] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[799] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[800] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[801] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[802] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[803] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[804] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[805] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[806] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[807] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[808] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[809] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[810] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[811] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[812] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[813] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[814] <= altera_cyclonev_pll_base:fpll_0.dprioout
dprioout_0[815] <= altera_cyclonev_pll_base:fpll_0.dprioout
fbclkfpll[0] => fbclkfpll[0].IN1
lvdfbin[0] => lvdfbin[0].IN1
nresync[0] => nresync[0].IN1
pfden[0] => pfden[0].IN1
shiften_fpll[0] => shiften_fpll[0].IN1
zdb[0] => zdb[0].IN1
fblvdsout[0] <= altera_cyclonev_pll_base:fpll_0.fblvdsout
lock[0] <= altera_cyclonev_pll_base:fpll_0.lock
mcntout[0] <= altera_cyclonev_pll_base:fpll_0.mcntout
plniotribuf[0] <= altera_cyclonev_pll_base:fpll_0.plniotribuf
clken[0] => ~NO_FANOUT~
clken[-1] => ~NO_FANOUT~
extclk[0] <= <GND>
extclk[-1] <= <GND>
dll_clkin[0] => ~NO_FANOUT~
clkout[0] <= <GND>
loaden[0] <= <GND>
loaden[1] <= <GND>
lvdsclk[0] <= <GND>
lvdsclk[1] <= <GND>
divclk[0] <= counter[0].output_counter.O_DIVCLK
cascade_out[0] <= counter[0].output_counter.O_CASCADEOUT


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_pll_0:pll_0|altera_pll:altera_pll_i|altera_cyclonev_pll:cyclonev_pll|altera_cyclonev_pll_base:fpll_0
phout[0] <= DPA.O_PHOUT
phout[1] <= DPA.O_PHOUT1
phout[2] <= DPA.O_PHOUT2
phout[3] <= DPA.O_PHOUT3
phout[4] <= DPA.O_PHOUT4
phout[5] <= DPA.O_PHOUT5
phout[6] <= DPA.O_PHOUT6
phout[7] <= DPA.O_PHOUT7
adjpllin => REFCLK_SELECT.I_ADJPLLIN
cclk => REFCLK_SELECT.I_CCLK
coreclkin => REFCLK_SELECT.I_CORECLKIN
extswitch => REFCLK_SELECT.I_EXTSWITCH
iqtxrxclkin => REFCLK_SELECT.I_IQTXRXCLKIN
plliqclkin => REFCLK_SELECT.I_PLLIQCLKIN
rxiqclkin => REFCLK_SELECT.I_RXIQCLKIN
clkin[0] => REFCLK_SELECT.I_CLKIN
clkin[1] => REFCLK_SELECT.I_CLKIN1
clkin[2] => REFCLK_SELECT.I_CLKIN2
clkin[3] => REFCLK_SELECT.I_CLKIN3
refiqclk[0] => REFCLK_SELECT.I_REFIQCLK
refiqclk[1] => REFCLK_SELECT.I_REFIQCLK1
clk0bad <= REFCLK_SELECT.O_CLK0BAD
clk1bad <= REFCLK_SELECT.O_CLK1BAD
pllclksel <= REFCLK_SELECT.O_PLLCLKSEL
atpgmode => PLL_RECONFIG.I_ATPGMODE
clk => PLL_RECONFIG.I_CLK
fpllcsrtest => PLL_RECONFIG.I_FPLLCSRTEST
iocsrclkin => PLL_RECONFIG.I_IOCSRCLKIN
iocsrdatain => PLL_RECONFIG.I_IOCSRDATAIN
iocsren => PLL_RECONFIG.I_IOCSREN
iocsrrstn => PLL_RECONFIG.I_IOCSRRSTN
mdiodis => PLL_RECONFIG.I_MDIODIS
phaseen => PLL_RECONFIG.I_PHASEEN
read => PLL_RECONFIG.I_READ
rstn => PLL_RECONFIG.I_RSTN
scanen => PLL_RECONFIG.I_SCANEN
sershiftload => PLL_RECONFIG.I_SERSHIFTLOAD
shiftdonei => PLL_RECONFIG.I_SHIFTDONEI
updn => PLL_RECONFIG.I_UPDN
updn => fpll.I_ECNC2TEST
write => PLL_RECONFIG.I_WRITE
addr[0] => PLL_RECONFIG.I_ADDR
addr[1] => PLL_RECONFIG.I_ADDR1
addr[2] => PLL_RECONFIG.I_ADDR2
addr[3] => PLL_RECONFIG.I_ADDR3
addr[4] => PLL_RECONFIG.I_ADDR4
addr[5] => PLL_RECONFIG.I_ADDR5
byteen[0] => PLL_RECONFIG.I_BYTEEN
byteen[1] => PLL_RECONFIG.I_BYTEEN1
cntsel[0] => PLL_RECONFIG.I_CNTSEL
cntsel[1] => PLL_RECONFIG.I_CNTSEL1
cntsel[2] => PLL_RECONFIG.I_CNTSEL2
cntsel[3] => PLL_RECONFIG.I_CNTSEL3
cntsel[4] => PLL_RECONFIG.I_CNTSEL4
din[0] => PLL_RECONFIG.DIN
din[1] => PLL_RECONFIG.DIN1
din[2] => PLL_RECONFIG.DIN2
din[3] => PLL_RECONFIG.DIN3
din[4] => PLL_RECONFIG.DIN4
din[5] => PLL_RECONFIG.DIN5
din[6] => PLL_RECONFIG.DIN6
din[7] => PLL_RECONFIG.DIN7
din[8] => PLL_RECONFIG.DIN8
din[9] => PLL_RECONFIG.DIN9
din[10] => PLL_RECONFIG.DIN10
din[11] => PLL_RECONFIG.DIN11
din[12] => PLL_RECONFIG.DIN12
din[13] => PLL_RECONFIG.DIN13
din[14] => PLL_RECONFIG.DIN14
din[15] => PLL_RECONFIG.DIN15
blockselect <= PLL_RECONFIG.O_BLOCKSELECT
iocsrdataout <= PLL_RECONFIG.O_IOCSRDATAOUT
iocsrenbuf <= PLL_RECONFIG.O_IOCSRENBUF
iocsrrstnbuf <= PLL_RECONFIG.O_IOCSRRSTNBUF
phasedone <= PLL_RECONFIG.O_PHASEDONE
shift <= PLL_RECONFIG.O_SHIFT
up <= PLL_RECONFIG.O_UP
dout[0] <= PLL_RECONFIG.O_DOUT
dout[1] <= PLL_RECONFIG.O_DOUT1
dout[2] <= PLL_RECONFIG.O_DOUT2
dout[3] <= PLL_RECONFIG.O_DOUT3
dout[4] <= PLL_RECONFIG.O_DOUT4
dout[5] <= PLL_RECONFIG.O_DOUT5
dout[6] <= PLL_RECONFIG.O_DOUT6
dout[7] <= PLL_RECONFIG.O_DOUT7
dout[8] <= PLL_RECONFIG.O_DOUT8
dout[9] <= PLL_RECONFIG.O_DOUT9
dout[10] <= PLL_RECONFIG.O_DOUT10
dout[11] <= PLL_RECONFIG.O_DOUT11
dout[12] <= PLL_RECONFIG.O_DOUT12
dout[13] <= PLL_RECONFIG.O_DOUT13
dout[14] <= PLL_RECONFIG.O_DOUT14
dout[15] <= PLL_RECONFIG.O_DOUT15
dprioout[0] <= PLL_RECONFIG.O_DPRIOOUT
dprioout[1] <= PLL_RECONFIG.O_DPRIOOUT1
dprioout[2] <= PLL_RECONFIG.O_DPRIOOUT2
dprioout[3] <= PLL_RECONFIG.O_DPRIOOUT3
dprioout[4] <= PLL_RECONFIG.O_DPRIOOUT4
dprioout[5] <= PLL_RECONFIG.O_DPRIOOUT5
dprioout[6] <= PLL_RECONFIG.O_DPRIOOUT6
dprioout[7] <= PLL_RECONFIG.O_DPRIOOUT7
dprioout[8] <= PLL_RECONFIG.O_DPRIOOUT8
dprioout[9] <= PLL_RECONFIG.O_DPRIOOUT9
dprioout[10] <= PLL_RECONFIG.O_DPRIOOUT10
dprioout[11] <= PLL_RECONFIG.O_DPRIOOUT11
dprioout[12] <= PLL_RECONFIG.O_DPRIOOUT12
dprioout[13] <= PLL_RECONFIG.O_DPRIOOUT13
dprioout[14] <= PLL_RECONFIG.O_DPRIOOUT14
dprioout[15] <= PLL_RECONFIG.O_DPRIOOUT15
dprioout[16] <= PLL_RECONFIG.O_DPRIOOUT16
dprioout[17] <= PLL_RECONFIG.O_DPRIOOUT17
dprioout[18] <= PLL_RECONFIG.O_DPRIOOUT18
dprioout[19] <= PLL_RECONFIG.O_DPRIOOUT19
dprioout[20] <= PLL_RECONFIG.O_DPRIOOUT20
dprioout[21] <= PLL_RECONFIG.O_DPRIOOUT21
dprioout[22] <= PLL_RECONFIG.O_DPRIOOUT22
dprioout[23] <= PLL_RECONFIG.O_DPRIOOUT23
dprioout[24] <= PLL_RECONFIG.O_DPRIOOUT24
dprioout[25] <= PLL_RECONFIG.O_DPRIOOUT25
dprioout[26] <= PLL_RECONFIG.O_DPRIOOUT26
dprioout[27] <= PLL_RECONFIG.O_DPRIOOUT27
dprioout[28] <= PLL_RECONFIG.O_DPRIOOUT28
dprioout[29] <= PLL_RECONFIG.O_DPRIOOUT29
dprioout[30] <= PLL_RECONFIG.O_DPRIOOUT30
dprioout[31] <= PLL_RECONFIG.O_DPRIOOUT31
dprioout[32] <= PLL_RECONFIG.O_DPRIOOUT32
dprioout[33] <= PLL_RECONFIG.O_DPRIOOUT33
dprioout[34] <= PLL_RECONFIG.O_DPRIOOUT34
dprioout[35] <= PLL_RECONFIG.O_DPRIOOUT35
dprioout[36] <= PLL_RECONFIG.O_DPRIOOUT36
dprioout[37] <= PLL_RECONFIG.O_DPRIOOUT37
dprioout[38] <= PLL_RECONFIG.O_DPRIOOUT38
dprioout[39] <= PLL_RECONFIG.O_DPRIOOUT39
dprioout[40] <= PLL_RECONFIG.O_DPRIOOUT40
dprioout[41] <= PLL_RECONFIG.O_DPRIOOUT41
dprioout[42] <= PLL_RECONFIG.O_DPRIOOUT42
dprioout[43] <= PLL_RECONFIG.O_DPRIOOUT43
dprioout[44] <= PLL_RECONFIG.O_DPRIOOUT44
dprioout[45] <= PLL_RECONFIG.O_DPRIOOUT45
dprioout[46] <= PLL_RECONFIG.O_DPRIOOUT46
dprioout[47] <= PLL_RECONFIG.O_DPRIOOUT47
dprioout[48] <= PLL_RECONFIG.O_DPRIOOUT48
dprioout[49] <= PLL_RECONFIG.O_DPRIOOUT49
dprioout[50] <= PLL_RECONFIG.O_DPRIOOUT50
dprioout[51] <= PLL_RECONFIG.O_DPRIOOUT51
dprioout[52] <= PLL_RECONFIG.O_DPRIOOUT52
dprioout[53] <= PLL_RECONFIG.O_DPRIOOUT53
dprioout[54] <= PLL_RECONFIG.O_DPRIOOUT54
dprioout[55] <= PLL_RECONFIG.O_DPRIOOUT55
dprioout[56] <= PLL_RECONFIG.O_DPRIOOUT56
dprioout[57] <= PLL_RECONFIG.O_DPRIOOUT57
dprioout[58] <= PLL_RECONFIG.O_DPRIOOUT58
dprioout[59] <= PLL_RECONFIG.O_DPRIOOUT59
dprioout[60] <= PLL_RECONFIG.O_DPRIOOUT60
dprioout[61] <= PLL_RECONFIG.O_DPRIOOUT61
dprioout[62] <= PLL_RECONFIG.O_DPRIOOUT62
dprioout[63] <= PLL_RECONFIG.O_DPRIOOUT63
dprioout[64] <= PLL_RECONFIG.O_DPRIOOUT64
dprioout[65] <= PLL_RECONFIG.O_DPRIOOUT65
dprioout[66] <= PLL_RECONFIG.O_DPRIOOUT66
dprioout[67] <= PLL_RECONFIG.O_DPRIOOUT67
dprioout[68] <= PLL_RECONFIG.O_DPRIOOUT68
dprioout[69] <= PLL_RECONFIG.O_DPRIOOUT69
dprioout[70] <= PLL_RECONFIG.O_DPRIOOUT70
dprioout[71] <= PLL_RECONFIG.O_DPRIOOUT71
dprioout[72] <= PLL_RECONFIG.O_DPRIOOUT72
dprioout[73] <= PLL_RECONFIG.O_DPRIOOUT73
dprioout[74] <= PLL_RECONFIG.O_DPRIOOUT74
dprioout[75] <= PLL_RECONFIG.O_DPRIOOUT75
dprioout[76] <= PLL_RECONFIG.O_DPRIOOUT76
dprioout[77] <= PLL_RECONFIG.O_DPRIOOUT77
dprioout[78] <= PLL_RECONFIG.O_DPRIOOUT78
dprioout[79] <= PLL_RECONFIG.O_DPRIOOUT79
dprioout[80] <= PLL_RECONFIG.O_DPRIOOUT80
dprioout[81] <= PLL_RECONFIG.O_DPRIOOUT81
dprioout[82] <= PLL_RECONFIG.O_DPRIOOUT82
dprioout[83] <= PLL_RECONFIG.O_DPRIOOUT83
dprioout[84] <= PLL_RECONFIG.O_DPRIOOUT84
dprioout[85] <= PLL_RECONFIG.O_DPRIOOUT85
dprioout[86] <= PLL_RECONFIG.O_DPRIOOUT86
dprioout[87] <= PLL_RECONFIG.O_DPRIOOUT87
dprioout[88] <= PLL_RECONFIG.O_DPRIOOUT88
dprioout[89] <= PLL_RECONFIG.O_DPRIOOUT89
dprioout[90] <= PLL_RECONFIG.O_DPRIOOUT90
dprioout[91] <= PLL_RECONFIG.O_DPRIOOUT91
dprioout[92] <= PLL_RECONFIG.O_DPRIOOUT92
dprioout[93] <= PLL_RECONFIG.O_DPRIOOUT93
dprioout[94] <= PLL_RECONFIG.O_DPRIOOUT94
dprioout[95] <= PLL_RECONFIG.O_DPRIOOUT95
dprioout[96] <= PLL_RECONFIG.O_DPRIOOUT96
dprioout[97] <= PLL_RECONFIG.O_DPRIOOUT97
dprioout[98] <= PLL_RECONFIG.O_DPRIOOUT98
dprioout[99] <= PLL_RECONFIG.O_DPRIOOUT99
dprioout[100] <= PLL_RECONFIG.O_DPRIOOUT100
dprioout[101] <= PLL_RECONFIG.O_DPRIOOUT101
dprioout[102] <= PLL_RECONFIG.O_DPRIOOUT102
dprioout[103] <= PLL_RECONFIG.O_DPRIOOUT103
dprioout[104] <= PLL_RECONFIG.O_DPRIOOUT104
dprioout[105] <= PLL_RECONFIG.O_DPRIOOUT105
dprioout[106] <= PLL_RECONFIG.O_DPRIOOUT106
dprioout[107] <= PLL_RECONFIG.O_DPRIOOUT107
dprioout[108] <= PLL_RECONFIG.O_DPRIOOUT108
dprioout[109] <= PLL_RECONFIG.O_DPRIOOUT109
dprioout[110] <= PLL_RECONFIG.O_DPRIOOUT110
dprioout[111] <= PLL_RECONFIG.O_DPRIOOUT111
dprioout[112] <= PLL_RECONFIG.O_DPRIOOUT112
dprioout[113] <= PLL_RECONFIG.O_DPRIOOUT113
dprioout[114] <= PLL_RECONFIG.O_DPRIOOUT114
dprioout[115] <= PLL_RECONFIG.O_DPRIOOUT115
dprioout[116] <= PLL_RECONFIG.O_DPRIOOUT116
dprioout[117] <= PLL_RECONFIG.O_DPRIOOUT117
dprioout[118] <= PLL_RECONFIG.O_DPRIOOUT118
dprioout[119] <= PLL_RECONFIG.O_DPRIOOUT119
dprioout[120] <= PLL_RECONFIG.O_DPRIOOUT120
dprioout[121] <= PLL_RECONFIG.O_DPRIOOUT121
dprioout[122] <= PLL_RECONFIG.O_DPRIOOUT122
dprioout[123] <= PLL_RECONFIG.O_DPRIOOUT123
dprioout[124] <= PLL_RECONFIG.O_DPRIOOUT124
dprioout[125] <= PLL_RECONFIG.O_DPRIOOUT125
dprioout[126] <= PLL_RECONFIG.O_DPRIOOUT126
dprioout[127] <= PLL_RECONFIG.O_DPRIOOUT127
dprioout[128] <= PLL_RECONFIG.O_DPRIOOUT128
dprioout[129] <= PLL_RECONFIG.O_DPRIOOUT129
dprioout[130] <= PLL_RECONFIG.O_DPRIOOUT130
dprioout[131] <= PLL_RECONFIG.O_DPRIOOUT131
dprioout[132] <= PLL_RECONFIG.O_DPRIOOUT132
dprioout[133] <= PLL_RECONFIG.O_DPRIOOUT133
dprioout[134] <= PLL_RECONFIG.O_DPRIOOUT134
dprioout[135] <= PLL_RECONFIG.O_DPRIOOUT135
dprioout[136] <= PLL_RECONFIG.O_DPRIOOUT136
dprioout[137] <= PLL_RECONFIG.O_DPRIOOUT137
dprioout[138] <= PLL_RECONFIG.O_DPRIOOUT138
dprioout[139] <= PLL_RECONFIG.O_DPRIOOUT139
dprioout[140] <= PLL_RECONFIG.O_DPRIOOUT140
dprioout[141] <= PLL_RECONFIG.O_DPRIOOUT141
dprioout[142] <= PLL_RECONFIG.O_DPRIOOUT142
dprioout[143] <= PLL_RECONFIG.O_DPRIOOUT143
dprioout[144] <= PLL_RECONFIG.O_DPRIOOUT144
dprioout[145] <= PLL_RECONFIG.O_DPRIOOUT145
dprioout[146] <= PLL_RECONFIG.O_DPRIOOUT146
dprioout[147] <= PLL_RECONFIG.O_DPRIOOUT147
dprioout[148] <= PLL_RECONFIG.O_DPRIOOUT148
dprioout[149] <= PLL_RECONFIG.O_DPRIOOUT149
dprioout[150] <= PLL_RECONFIG.O_DPRIOOUT150
dprioout[151] <= PLL_RECONFIG.O_DPRIOOUT151
dprioout[152] <= PLL_RECONFIG.O_DPRIOOUT152
dprioout[153] <= PLL_RECONFIG.O_DPRIOOUT153
dprioout[154] <= PLL_RECONFIG.O_DPRIOOUT154
dprioout[155] <= PLL_RECONFIG.O_DPRIOOUT155
dprioout[156] <= PLL_RECONFIG.O_DPRIOOUT156
dprioout[157] <= PLL_RECONFIG.O_DPRIOOUT157
dprioout[158] <= PLL_RECONFIG.O_DPRIOOUT158
dprioout[159] <= PLL_RECONFIG.O_DPRIOOUT159
dprioout[160] <= PLL_RECONFIG.O_DPRIOOUT160
dprioout[161] <= PLL_RECONFIG.O_DPRIOOUT161
dprioout[162] <= PLL_RECONFIG.O_DPRIOOUT162
dprioout[163] <= PLL_RECONFIG.O_DPRIOOUT163
dprioout[164] <= PLL_RECONFIG.O_DPRIOOUT164
dprioout[165] <= PLL_RECONFIG.O_DPRIOOUT165
dprioout[166] <= PLL_RECONFIG.O_DPRIOOUT166
dprioout[167] <= PLL_RECONFIG.O_DPRIOOUT167
dprioout[168] <= PLL_RECONFIG.O_DPRIOOUT168
dprioout[169] <= PLL_RECONFIG.O_DPRIOOUT169
dprioout[170] <= PLL_RECONFIG.O_DPRIOOUT170
dprioout[171] <= PLL_RECONFIG.O_DPRIOOUT171
dprioout[172] <= PLL_RECONFIG.O_DPRIOOUT172
dprioout[173] <= PLL_RECONFIG.O_DPRIOOUT173
dprioout[174] <= PLL_RECONFIG.O_DPRIOOUT174
dprioout[175] <= PLL_RECONFIG.O_DPRIOOUT175
dprioout[176] <= PLL_RECONFIG.O_DPRIOOUT176
dprioout[177] <= PLL_RECONFIG.O_DPRIOOUT177
dprioout[178] <= PLL_RECONFIG.O_DPRIOOUT178
dprioout[179] <= PLL_RECONFIG.O_DPRIOOUT179
dprioout[180] <= PLL_RECONFIG.O_DPRIOOUT180
dprioout[181] <= PLL_RECONFIG.O_DPRIOOUT181
dprioout[182] <= PLL_RECONFIG.O_DPRIOOUT182
dprioout[183] <= PLL_RECONFIG.O_DPRIOOUT183
dprioout[184] <= PLL_RECONFIG.O_DPRIOOUT184
dprioout[185] <= PLL_RECONFIG.O_DPRIOOUT185
dprioout[186] <= PLL_RECONFIG.O_DPRIOOUT186
dprioout[187] <= PLL_RECONFIG.O_DPRIOOUT187
dprioout[188] <= PLL_RECONFIG.O_DPRIOOUT188
dprioout[189] <= PLL_RECONFIG.O_DPRIOOUT189
dprioout[190] <= PLL_RECONFIG.O_DPRIOOUT190
dprioout[191] <= PLL_RECONFIG.O_DPRIOOUT191
dprioout[192] <= PLL_RECONFIG.O_DPRIOOUT192
dprioout[193] <= PLL_RECONFIG.O_DPRIOOUT193
dprioout[194] <= PLL_RECONFIG.O_DPRIOOUT194
dprioout[195] <= PLL_RECONFIG.O_DPRIOOUT195
dprioout[196] <= PLL_RECONFIG.O_DPRIOOUT196
dprioout[197] <= PLL_RECONFIG.O_DPRIOOUT197
dprioout[198] <= PLL_RECONFIG.O_DPRIOOUT198
dprioout[199] <= PLL_RECONFIG.O_DPRIOOUT199
dprioout[200] <= PLL_RECONFIG.O_DPRIOOUT200
dprioout[201] <= PLL_RECONFIG.O_DPRIOOUT201
dprioout[202] <= PLL_RECONFIG.O_DPRIOOUT202
dprioout[203] <= PLL_RECONFIG.O_DPRIOOUT203
dprioout[204] <= PLL_RECONFIG.O_DPRIOOUT204
dprioout[205] <= PLL_RECONFIG.O_DPRIOOUT205
dprioout[206] <= PLL_RECONFIG.O_DPRIOOUT206
dprioout[207] <= PLL_RECONFIG.O_DPRIOOUT207
dprioout[208] <= PLL_RECONFIG.O_DPRIOOUT208
dprioout[209] <= PLL_RECONFIG.O_DPRIOOUT209
dprioout[210] <= PLL_RECONFIG.O_DPRIOOUT210
dprioout[211] <= PLL_RECONFIG.O_DPRIOOUT211
dprioout[212] <= PLL_RECONFIG.O_DPRIOOUT212
dprioout[213] <= PLL_RECONFIG.O_DPRIOOUT213
dprioout[214] <= PLL_RECONFIG.O_DPRIOOUT214
dprioout[215] <= PLL_RECONFIG.O_DPRIOOUT215
dprioout[216] <= PLL_RECONFIG.O_DPRIOOUT216
dprioout[217] <= PLL_RECONFIG.O_DPRIOOUT217
dprioout[218] <= PLL_RECONFIG.O_DPRIOOUT218
dprioout[219] <= PLL_RECONFIG.O_DPRIOOUT219
dprioout[220] <= PLL_RECONFIG.O_DPRIOOUT220
dprioout[221] <= PLL_RECONFIG.O_DPRIOOUT221
dprioout[222] <= PLL_RECONFIG.O_DPRIOOUT222
dprioout[223] <= PLL_RECONFIG.O_DPRIOOUT223
dprioout[224] <= PLL_RECONFIG.O_DPRIOOUT224
dprioout[225] <= PLL_RECONFIG.O_DPRIOOUT225
dprioout[226] <= PLL_RECONFIG.O_DPRIOOUT226
dprioout[227] <= PLL_RECONFIG.O_DPRIOOUT227
dprioout[228] <= PLL_RECONFIG.O_DPRIOOUT228
dprioout[229] <= PLL_RECONFIG.O_DPRIOOUT229
dprioout[230] <= PLL_RECONFIG.O_DPRIOOUT230
dprioout[231] <= PLL_RECONFIG.O_DPRIOOUT231
dprioout[232] <= PLL_RECONFIG.O_DPRIOOUT232
dprioout[233] <= PLL_RECONFIG.O_DPRIOOUT233
dprioout[234] <= PLL_RECONFIG.O_DPRIOOUT234
dprioout[235] <= PLL_RECONFIG.O_DPRIOOUT235
dprioout[236] <= PLL_RECONFIG.O_DPRIOOUT236
dprioout[237] <= PLL_RECONFIG.O_DPRIOOUT237
dprioout[238] <= PLL_RECONFIG.O_DPRIOOUT238
dprioout[239] <= PLL_RECONFIG.O_DPRIOOUT239
dprioout[240] <= PLL_RECONFIG.O_DPRIOOUT240
dprioout[241] <= PLL_RECONFIG.O_DPRIOOUT241
dprioout[242] <= PLL_RECONFIG.O_DPRIOOUT242
dprioout[243] <= PLL_RECONFIG.O_DPRIOOUT243
dprioout[244] <= PLL_RECONFIG.O_DPRIOOUT244
dprioout[245] <= PLL_RECONFIG.O_DPRIOOUT245
dprioout[246] <= PLL_RECONFIG.O_DPRIOOUT246
dprioout[247] <= PLL_RECONFIG.O_DPRIOOUT247
dprioout[248] <= PLL_RECONFIG.O_DPRIOOUT248
dprioout[249] <= PLL_RECONFIG.O_DPRIOOUT249
dprioout[250] <= PLL_RECONFIG.O_DPRIOOUT250
dprioout[251] <= PLL_RECONFIG.O_DPRIOOUT251
dprioout[252] <= PLL_RECONFIG.O_DPRIOOUT252
dprioout[253] <= PLL_RECONFIG.O_DPRIOOUT253
dprioout[254] <= PLL_RECONFIG.O_DPRIOOUT254
dprioout[255] <= PLL_RECONFIG.O_DPRIOOUT255
dprioout[256] <= PLL_RECONFIG.O_DPRIOOUT256
dprioout[257] <= PLL_RECONFIG.O_DPRIOOUT257
dprioout[258] <= PLL_RECONFIG.O_DPRIOOUT258
dprioout[259] <= PLL_RECONFIG.O_DPRIOOUT259
dprioout[260] <= PLL_RECONFIG.O_DPRIOOUT260
dprioout[261] <= PLL_RECONFIG.O_DPRIOOUT261
dprioout[262] <= PLL_RECONFIG.O_DPRIOOUT262
dprioout[263] <= PLL_RECONFIG.O_DPRIOOUT263
dprioout[264] <= PLL_RECONFIG.O_DPRIOOUT264
dprioout[265] <= PLL_RECONFIG.O_DPRIOOUT265
dprioout[266] <= PLL_RECONFIG.O_DPRIOOUT266
dprioout[267] <= PLL_RECONFIG.O_DPRIOOUT267
dprioout[268] <= PLL_RECONFIG.O_DPRIOOUT268
dprioout[269] <= PLL_RECONFIG.O_DPRIOOUT269
dprioout[270] <= PLL_RECONFIG.O_DPRIOOUT270
dprioout[271] <= PLL_RECONFIG.O_DPRIOOUT271
dprioout[272] <= PLL_RECONFIG.O_DPRIOOUT272
dprioout[273] <= PLL_RECONFIG.O_DPRIOOUT273
dprioout[274] <= PLL_RECONFIG.O_DPRIOOUT274
dprioout[275] <= PLL_RECONFIG.O_DPRIOOUT275
dprioout[276] <= PLL_RECONFIG.O_DPRIOOUT276
dprioout[277] <= PLL_RECONFIG.O_DPRIOOUT277
dprioout[278] <= PLL_RECONFIG.O_DPRIOOUT278
dprioout[279] <= PLL_RECONFIG.O_DPRIOOUT279
dprioout[280] <= PLL_RECONFIG.O_DPRIOOUT280
dprioout[281] <= PLL_RECONFIG.O_DPRIOOUT281
dprioout[282] <= PLL_RECONFIG.O_DPRIOOUT282
dprioout[283] <= PLL_RECONFIG.O_DPRIOOUT283
dprioout[284] <= PLL_RECONFIG.O_DPRIOOUT284
dprioout[285] <= PLL_RECONFIG.O_DPRIOOUT285
dprioout[286] <= PLL_RECONFIG.O_DPRIOOUT286
dprioout[287] <= PLL_RECONFIG.O_DPRIOOUT287
dprioout[288] <= PLL_RECONFIG.O_DPRIOOUT288
dprioout[289] <= PLL_RECONFIG.O_DPRIOOUT289
dprioout[290] <= PLL_RECONFIG.O_DPRIOOUT290
dprioout[291] <= PLL_RECONFIG.O_DPRIOOUT291
dprioout[292] <= PLL_RECONFIG.O_DPRIOOUT292
dprioout[293] <= PLL_RECONFIG.O_DPRIOOUT293
dprioout[294] <= PLL_RECONFIG.O_DPRIOOUT294
dprioout[295] <= PLL_RECONFIG.O_DPRIOOUT295
dprioout[296] <= PLL_RECONFIG.O_DPRIOOUT296
dprioout[297] <= PLL_RECONFIG.O_DPRIOOUT297
dprioout[298] <= PLL_RECONFIG.O_DPRIOOUT298
dprioout[299] <= PLL_RECONFIG.O_DPRIOOUT299
dprioout[300] <= PLL_RECONFIG.O_DPRIOOUT300
dprioout[301] <= PLL_RECONFIG.O_DPRIOOUT301
dprioout[302] <= PLL_RECONFIG.O_DPRIOOUT302
dprioout[303] <= PLL_RECONFIG.O_DPRIOOUT303
dprioout[304] <= PLL_RECONFIG.O_DPRIOOUT304
dprioout[305] <= PLL_RECONFIG.O_DPRIOOUT305
dprioout[306] <= PLL_RECONFIG.O_DPRIOOUT306
dprioout[307] <= PLL_RECONFIG.O_DPRIOOUT307
dprioout[308] <= PLL_RECONFIG.O_DPRIOOUT308
dprioout[309] <= PLL_RECONFIG.O_DPRIOOUT309
dprioout[310] <= PLL_RECONFIG.O_DPRIOOUT310
dprioout[311] <= PLL_RECONFIG.O_DPRIOOUT311
dprioout[312] <= PLL_RECONFIG.O_DPRIOOUT312
dprioout[313] <= PLL_RECONFIG.O_DPRIOOUT313
dprioout[314] <= PLL_RECONFIG.O_DPRIOOUT314
dprioout[315] <= PLL_RECONFIG.O_DPRIOOUT315
dprioout[316] <= PLL_RECONFIG.O_DPRIOOUT316
dprioout[317] <= PLL_RECONFIG.O_DPRIOOUT317
dprioout[318] <= PLL_RECONFIG.O_DPRIOOUT318
dprioout[319] <= PLL_RECONFIG.O_DPRIOOUT319
dprioout[320] <= PLL_RECONFIG.O_DPRIOOUT320
dprioout[321] <= PLL_RECONFIG.O_DPRIOOUT321
dprioout[322] <= PLL_RECONFIG.O_DPRIOOUT322
dprioout[323] <= PLL_RECONFIG.O_DPRIOOUT323
dprioout[324] <= PLL_RECONFIG.O_DPRIOOUT324
dprioout[325] <= PLL_RECONFIG.O_DPRIOOUT325
dprioout[326] <= PLL_RECONFIG.O_DPRIOOUT326
dprioout[327] <= PLL_RECONFIG.O_DPRIOOUT327
dprioout[328] <= PLL_RECONFIG.O_DPRIOOUT328
dprioout[329] <= PLL_RECONFIG.O_DPRIOOUT329
dprioout[330] <= PLL_RECONFIG.O_DPRIOOUT330
dprioout[331] <= PLL_RECONFIG.O_DPRIOOUT331
dprioout[332] <= PLL_RECONFIG.O_DPRIOOUT332
dprioout[333] <= PLL_RECONFIG.O_DPRIOOUT333
dprioout[334] <= PLL_RECONFIG.O_DPRIOOUT334
dprioout[335] <= PLL_RECONFIG.O_DPRIOOUT335
dprioout[336] <= PLL_RECONFIG.O_DPRIOOUT336
dprioout[337] <= PLL_RECONFIG.O_DPRIOOUT337
dprioout[338] <= PLL_RECONFIG.O_DPRIOOUT338
dprioout[339] <= PLL_RECONFIG.O_DPRIOOUT339
dprioout[340] <= PLL_RECONFIG.O_DPRIOOUT340
dprioout[341] <= PLL_RECONFIG.O_DPRIOOUT341
dprioout[342] <= PLL_RECONFIG.O_DPRIOOUT342
dprioout[343] <= PLL_RECONFIG.O_DPRIOOUT343
dprioout[344] <= PLL_RECONFIG.O_DPRIOOUT344
dprioout[345] <= PLL_RECONFIG.O_DPRIOOUT345
dprioout[346] <= PLL_RECONFIG.O_DPRIOOUT346
dprioout[347] <= PLL_RECONFIG.O_DPRIOOUT347
dprioout[348] <= PLL_RECONFIG.O_DPRIOOUT348
dprioout[349] <= PLL_RECONFIG.O_DPRIOOUT349
dprioout[350] <= PLL_RECONFIG.O_DPRIOOUT350
dprioout[351] <= PLL_RECONFIG.O_DPRIOOUT351
dprioout[352] <= PLL_RECONFIG.O_DPRIOOUT352
dprioout[353] <= PLL_RECONFIG.O_DPRIOOUT353
dprioout[354] <= PLL_RECONFIG.O_DPRIOOUT354
dprioout[355] <= PLL_RECONFIG.O_DPRIOOUT355
dprioout[356] <= PLL_RECONFIG.O_DPRIOOUT356
dprioout[357] <= PLL_RECONFIG.O_DPRIOOUT357
dprioout[358] <= PLL_RECONFIG.O_DPRIOOUT358
dprioout[359] <= PLL_RECONFIG.O_DPRIOOUT359
dprioout[360] <= PLL_RECONFIG.O_DPRIOOUT360
dprioout[361] <= PLL_RECONFIG.O_DPRIOOUT361
dprioout[362] <= PLL_RECONFIG.O_DPRIOOUT362
dprioout[363] <= PLL_RECONFIG.O_DPRIOOUT363
dprioout[364] <= PLL_RECONFIG.O_DPRIOOUT364
dprioout[365] <= PLL_RECONFIG.O_DPRIOOUT365
dprioout[366] <= PLL_RECONFIG.O_DPRIOOUT366
dprioout[367] <= PLL_RECONFIG.O_DPRIOOUT367
dprioout[368] <= PLL_RECONFIG.O_DPRIOOUT368
dprioout[369] <= PLL_RECONFIG.O_DPRIOOUT369
dprioout[370] <= PLL_RECONFIG.O_DPRIOOUT370
dprioout[371] <= PLL_RECONFIG.O_DPRIOOUT371
dprioout[372] <= PLL_RECONFIG.O_DPRIOOUT372
dprioout[373] <= PLL_RECONFIG.O_DPRIOOUT373
dprioout[374] <= PLL_RECONFIG.O_DPRIOOUT374
dprioout[375] <= PLL_RECONFIG.O_DPRIOOUT375
dprioout[376] <= PLL_RECONFIG.O_DPRIOOUT376
dprioout[377] <= PLL_RECONFIG.O_DPRIOOUT377
dprioout[378] <= PLL_RECONFIG.O_DPRIOOUT378
dprioout[379] <= PLL_RECONFIG.O_DPRIOOUT379
dprioout[380] <= PLL_RECONFIG.O_DPRIOOUT380
dprioout[381] <= PLL_RECONFIG.O_DPRIOOUT381
dprioout[382] <= PLL_RECONFIG.O_DPRIOOUT382
dprioout[383] <= PLL_RECONFIG.O_DPRIOOUT383
dprioout[384] <= PLL_RECONFIG.O_DPRIOOUT384
dprioout[385] <= PLL_RECONFIG.O_DPRIOOUT385
dprioout[386] <= PLL_RECONFIG.O_DPRIOOUT386
dprioout[387] <= PLL_RECONFIG.O_DPRIOOUT387
dprioout[388] <= PLL_RECONFIG.O_DPRIOOUT388
dprioout[389] <= PLL_RECONFIG.O_DPRIOOUT389
dprioout[390] <= PLL_RECONFIG.O_DPRIOOUT390
dprioout[391] <= PLL_RECONFIG.O_DPRIOOUT391
dprioout[392] <= PLL_RECONFIG.O_DPRIOOUT392
dprioout[393] <= PLL_RECONFIG.O_DPRIOOUT393
dprioout[394] <= PLL_RECONFIG.O_DPRIOOUT394
dprioout[395] <= PLL_RECONFIG.O_DPRIOOUT395
dprioout[396] <= PLL_RECONFIG.O_DPRIOOUT396
dprioout[397] <= PLL_RECONFIG.O_DPRIOOUT397
dprioout[398] <= PLL_RECONFIG.O_DPRIOOUT398
dprioout[399] <= PLL_RECONFIG.O_DPRIOOUT399
dprioout[400] <= PLL_RECONFIG.O_DPRIOOUT400
dprioout[401] <= PLL_RECONFIG.O_DPRIOOUT401
dprioout[402] <= PLL_RECONFIG.O_DPRIOOUT402
dprioout[403] <= PLL_RECONFIG.O_DPRIOOUT403
dprioout[404] <= PLL_RECONFIG.O_DPRIOOUT404
dprioout[405] <= PLL_RECONFIG.O_DPRIOOUT405
dprioout[406] <= PLL_RECONFIG.O_DPRIOOUT406
dprioout[407] <= PLL_RECONFIG.O_DPRIOOUT407
dprioout[408] <= PLL_RECONFIG.O_DPRIOOUT408
dprioout[409] <= PLL_RECONFIG.O_DPRIOOUT409
dprioout[410] <= PLL_RECONFIG.O_DPRIOOUT410
dprioout[411] <= PLL_RECONFIG.O_DPRIOOUT411
dprioout[412] <= PLL_RECONFIG.O_DPRIOOUT412
dprioout[413] <= PLL_RECONFIG.O_DPRIOOUT413
dprioout[414] <= PLL_RECONFIG.O_DPRIOOUT414
dprioout[415] <= PLL_RECONFIG.O_DPRIOOUT415
dprioout[416] <= PLL_RECONFIG.O_DPRIOOUT416
dprioout[417] <= PLL_RECONFIG.O_DPRIOOUT417
dprioout[418] <= PLL_RECONFIG.O_DPRIOOUT418
dprioout[419] <= PLL_RECONFIG.O_DPRIOOUT419
dprioout[420] <= PLL_RECONFIG.O_DPRIOOUT420
dprioout[421] <= PLL_RECONFIG.O_DPRIOOUT421
dprioout[422] <= PLL_RECONFIG.O_DPRIOOUT422
dprioout[423] <= PLL_RECONFIG.O_DPRIOOUT423
dprioout[424] <= PLL_RECONFIG.O_DPRIOOUT424
dprioout[425] <= PLL_RECONFIG.O_DPRIOOUT425
dprioout[426] <= PLL_RECONFIG.O_DPRIOOUT426
dprioout[427] <= PLL_RECONFIG.O_DPRIOOUT427
dprioout[428] <= PLL_RECONFIG.O_DPRIOOUT428
dprioout[429] <= PLL_RECONFIG.O_DPRIOOUT429
dprioout[430] <= PLL_RECONFIG.O_DPRIOOUT430
dprioout[431] <= PLL_RECONFIG.O_DPRIOOUT431
dprioout[432] <= PLL_RECONFIG.O_DPRIOOUT432
dprioout[433] <= PLL_RECONFIG.O_DPRIOOUT433
dprioout[434] <= PLL_RECONFIG.O_DPRIOOUT434
dprioout[435] <= PLL_RECONFIG.O_DPRIOOUT435
dprioout[436] <= PLL_RECONFIG.O_DPRIOOUT436
dprioout[437] <= PLL_RECONFIG.O_DPRIOOUT437
dprioout[438] <= PLL_RECONFIG.O_DPRIOOUT438
dprioout[439] <= PLL_RECONFIG.O_DPRIOOUT439
dprioout[440] <= PLL_RECONFIG.O_DPRIOOUT440
dprioout[441] <= PLL_RECONFIG.O_DPRIOOUT441
dprioout[442] <= PLL_RECONFIG.O_DPRIOOUT442
dprioout[443] <= PLL_RECONFIG.O_DPRIOOUT443
dprioout[444] <= PLL_RECONFIG.O_DPRIOOUT444
dprioout[445] <= PLL_RECONFIG.O_DPRIOOUT445
dprioout[446] <= PLL_RECONFIG.O_DPRIOOUT446
dprioout[447] <= PLL_RECONFIG.O_DPRIOOUT447
dprioout[448] <= PLL_RECONFIG.O_DPRIOOUT448
dprioout[449] <= PLL_RECONFIG.O_DPRIOOUT449
dprioout[450] <= PLL_RECONFIG.O_DPRIOOUT450
dprioout[451] <= PLL_RECONFIG.O_DPRIOOUT451
dprioout[452] <= PLL_RECONFIG.O_DPRIOOUT452
dprioout[453] <= PLL_RECONFIG.O_DPRIOOUT453
dprioout[454] <= PLL_RECONFIG.O_DPRIOOUT454
dprioout[455] <= PLL_RECONFIG.O_DPRIOOUT455
dprioout[456] <= PLL_RECONFIG.O_DPRIOOUT456
dprioout[457] <= PLL_RECONFIG.O_DPRIOOUT457
dprioout[458] <= PLL_RECONFIG.O_DPRIOOUT458
dprioout[459] <= PLL_RECONFIG.O_DPRIOOUT459
dprioout[460] <= PLL_RECONFIG.O_DPRIOOUT460
dprioout[461] <= PLL_RECONFIG.O_DPRIOOUT461
dprioout[462] <= PLL_RECONFIG.O_DPRIOOUT462
dprioout[463] <= PLL_RECONFIG.O_DPRIOOUT463
dprioout[464] <= PLL_RECONFIG.O_DPRIOOUT464
dprioout[465] <= PLL_RECONFIG.O_DPRIOOUT465
dprioout[466] <= PLL_RECONFIG.O_DPRIOOUT466
dprioout[467] <= PLL_RECONFIG.O_DPRIOOUT467
dprioout[468] <= PLL_RECONFIG.O_DPRIOOUT468
dprioout[469] <= PLL_RECONFIG.O_DPRIOOUT469
dprioout[470] <= PLL_RECONFIG.O_DPRIOOUT470
dprioout[471] <= PLL_RECONFIG.O_DPRIOOUT471
dprioout[472] <= PLL_RECONFIG.O_DPRIOOUT472
dprioout[473] <= PLL_RECONFIG.O_DPRIOOUT473
dprioout[474] <= PLL_RECONFIG.O_DPRIOOUT474
dprioout[475] <= PLL_RECONFIG.O_DPRIOOUT475
dprioout[476] <= PLL_RECONFIG.O_DPRIOOUT476
dprioout[477] <= PLL_RECONFIG.O_DPRIOOUT477
dprioout[478] <= PLL_RECONFIG.O_DPRIOOUT478
dprioout[479] <= PLL_RECONFIG.O_DPRIOOUT479
dprioout[480] <= PLL_RECONFIG.O_DPRIOOUT480
dprioout[481] <= PLL_RECONFIG.O_DPRIOOUT481
dprioout[482] <= PLL_RECONFIG.O_DPRIOOUT482
dprioout[483] <= PLL_RECONFIG.O_DPRIOOUT483
dprioout[484] <= PLL_RECONFIG.O_DPRIOOUT484
dprioout[485] <= PLL_RECONFIG.O_DPRIOOUT485
dprioout[486] <= PLL_RECONFIG.O_DPRIOOUT486
dprioout[487] <= PLL_RECONFIG.O_DPRIOOUT487
dprioout[488] <= PLL_RECONFIG.O_DPRIOOUT488
dprioout[489] <= PLL_RECONFIG.O_DPRIOOUT489
dprioout[490] <= PLL_RECONFIG.O_DPRIOOUT490
dprioout[491] <= PLL_RECONFIG.O_DPRIOOUT491
dprioout[492] <= PLL_RECONFIG.O_DPRIOOUT492
dprioout[493] <= PLL_RECONFIG.O_DPRIOOUT493
dprioout[494] <= PLL_RECONFIG.O_DPRIOOUT494
dprioout[495] <= PLL_RECONFIG.O_DPRIOOUT495
dprioout[496] <= PLL_RECONFIG.O_DPRIOOUT496
dprioout[497] <= PLL_RECONFIG.O_DPRIOOUT497
dprioout[498] <= PLL_RECONFIG.O_DPRIOOUT498
dprioout[499] <= PLL_RECONFIG.O_DPRIOOUT499
dprioout[500] <= PLL_RECONFIG.O_DPRIOOUT500
dprioout[501] <= PLL_RECONFIG.O_DPRIOOUT501
dprioout[502] <= PLL_RECONFIG.O_DPRIOOUT502
dprioout[503] <= PLL_RECONFIG.O_DPRIOOUT503
dprioout[504] <= PLL_RECONFIG.O_DPRIOOUT504
dprioout[505] <= PLL_RECONFIG.O_DPRIOOUT505
dprioout[506] <= PLL_RECONFIG.O_DPRIOOUT506
dprioout[507] <= PLL_RECONFIG.O_DPRIOOUT507
dprioout[508] <= PLL_RECONFIG.O_DPRIOOUT508
dprioout[509] <= PLL_RECONFIG.O_DPRIOOUT509
dprioout[510] <= PLL_RECONFIG.O_DPRIOOUT510
dprioout[511] <= PLL_RECONFIG.O_DPRIOOUT511
dprioout[512] <= PLL_RECONFIG.O_DPRIOOUT512
dprioout[513] <= PLL_RECONFIG.O_DPRIOOUT513
dprioout[514] <= PLL_RECONFIG.O_DPRIOOUT514
dprioout[515] <= PLL_RECONFIG.O_DPRIOOUT515
dprioout[516] <= PLL_RECONFIG.O_DPRIOOUT516
dprioout[517] <= PLL_RECONFIG.O_DPRIOOUT517
dprioout[518] <= PLL_RECONFIG.O_DPRIOOUT518
dprioout[519] <= PLL_RECONFIG.O_DPRIOOUT519
dprioout[520] <= PLL_RECONFIG.O_DPRIOOUT520
dprioout[521] <= PLL_RECONFIG.O_DPRIOOUT521
dprioout[522] <= PLL_RECONFIG.O_DPRIOOUT522
dprioout[523] <= PLL_RECONFIG.O_DPRIOOUT523
dprioout[524] <= PLL_RECONFIG.O_DPRIOOUT524
dprioout[525] <= PLL_RECONFIG.O_DPRIOOUT525
dprioout[526] <= PLL_RECONFIG.O_DPRIOOUT526
dprioout[527] <= PLL_RECONFIG.O_DPRIOOUT527
dprioout[528] <= PLL_RECONFIG.O_DPRIOOUT528
dprioout[529] <= PLL_RECONFIG.O_DPRIOOUT529
dprioout[530] <= PLL_RECONFIG.O_DPRIOOUT530
dprioout[531] <= PLL_RECONFIG.O_DPRIOOUT531
dprioout[532] <= PLL_RECONFIG.O_DPRIOOUT532
dprioout[533] <= PLL_RECONFIG.O_DPRIOOUT533
dprioout[534] <= PLL_RECONFIG.O_DPRIOOUT534
dprioout[535] <= PLL_RECONFIG.O_DPRIOOUT535
dprioout[536] <= PLL_RECONFIG.O_DPRIOOUT536
dprioout[537] <= PLL_RECONFIG.O_DPRIOOUT537
dprioout[538] <= PLL_RECONFIG.O_DPRIOOUT538
dprioout[539] <= PLL_RECONFIG.O_DPRIOOUT539
dprioout[540] <= PLL_RECONFIG.O_DPRIOOUT540
dprioout[541] <= PLL_RECONFIG.O_DPRIOOUT541
dprioout[542] <= PLL_RECONFIG.O_DPRIOOUT542
dprioout[543] <= PLL_RECONFIG.O_DPRIOOUT543
dprioout[544] <= PLL_RECONFIG.O_DPRIOOUT544
dprioout[545] <= PLL_RECONFIG.O_DPRIOOUT545
dprioout[546] <= PLL_RECONFIG.O_DPRIOOUT546
dprioout[547] <= PLL_RECONFIG.O_DPRIOOUT547
dprioout[548] <= PLL_RECONFIG.O_DPRIOOUT548
dprioout[549] <= PLL_RECONFIG.O_DPRIOOUT549
dprioout[550] <= PLL_RECONFIG.O_DPRIOOUT550
dprioout[551] <= PLL_RECONFIG.O_DPRIOOUT551
dprioout[552] <= PLL_RECONFIG.O_DPRIOOUT552
dprioout[553] <= PLL_RECONFIG.O_DPRIOOUT553
dprioout[554] <= PLL_RECONFIG.O_DPRIOOUT554
dprioout[555] <= PLL_RECONFIG.O_DPRIOOUT555
dprioout[556] <= PLL_RECONFIG.O_DPRIOOUT556
dprioout[557] <= PLL_RECONFIG.O_DPRIOOUT557
dprioout[558] <= PLL_RECONFIG.O_DPRIOOUT558
dprioout[559] <= PLL_RECONFIG.O_DPRIOOUT559
dprioout[560] <= PLL_RECONFIG.O_DPRIOOUT560
dprioout[561] <= PLL_RECONFIG.O_DPRIOOUT561
dprioout[562] <= PLL_RECONFIG.O_DPRIOOUT562
dprioout[563] <= PLL_RECONFIG.O_DPRIOOUT563
dprioout[564] <= PLL_RECONFIG.O_DPRIOOUT564
dprioout[565] <= PLL_RECONFIG.O_DPRIOOUT565
dprioout[566] <= PLL_RECONFIG.O_DPRIOOUT566
dprioout[567] <= PLL_RECONFIG.O_DPRIOOUT567
dprioout[568] <= PLL_RECONFIG.O_DPRIOOUT568
dprioout[569] <= PLL_RECONFIG.O_DPRIOOUT569
dprioout[570] <= PLL_RECONFIG.O_DPRIOOUT570
dprioout[571] <= PLL_RECONFIG.O_DPRIOOUT571
dprioout[572] <= PLL_RECONFIG.O_DPRIOOUT572
dprioout[573] <= PLL_RECONFIG.O_DPRIOOUT573
dprioout[574] <= PLL_RECONFIG.O_DPRIOOUT574
dprioout[575] <= PLL_RECONFIG.O_DPRIOOUT575
dprioout[576] <= PLL_RECONFIG.O_DPRIOOUT576
dprioout[577] <= PLL_RECONFIG.O_DPRIOOUT577
dprioout[578] <= PLL_RECONFIG.O_DPRIOOUT578
dprioout[579] <= PLL_RECONFIG.O_DPRIOOUT579
dprioout[580] <= PLL_RECONFIG.O_DPRIOOUT580
dprioout[581] <= PLL_RECONFIG.O_DPRIOOUT581
dprioout[582] <= PLL_RECONFIG.O_DPRIOOUT582
dprioout[583] <= PLL_RECONFIG.O_DPRIOOUT583
dprioout[584] <= PLL_RECONFIG.O_DPRIOOUT584
dprioout[585] <= PLL_RECONFIG.O_DPRIOOUT585
dprioout[586] <= PLL_RECONFIG.O_DPRIOOUT586
dprioout[587] <= PLL_RECONFIG.O_DPRIOOUT587
dprioout[588] <= PLL_RECONFIG.O_DPRIOOUT588
dprioout[589] <= PLL_RECONFIG.O_DPRIOOUT589
dprioout[590] <= PLL_RECONFIG.O_DPRIOOUT590
dprioout[591] <= PLL_RECONFIG.O_DPRIOOUT591
dprioout[592] <= PLL_RECONFIG.O_DPRIOOUT592
dprioout[593] <= PLL_RECONFIG.O_DPRIOOUT593
dprioout[594] <= PLL_RECONFIG.O_DPRIOOUT594
dprioout[595] <= PLL_RECONFIG.O_DPRIOOUT595
dprioout[596] <= PLL_RECONFIG.O_DPRIOOUT596
dprioout[597] <= PLL_RECONFIG.O_DPRIOOUT597
dprioout[598] <= PLL_RECONFIG.O_DPRIOOUT598
dprioout[599] <= PLL_RECONFIG.O_DPRIOOUT599
dprioout[600] <= PLL_RECONFIG.O_DPRIOOUT600
dprioout[601] <= PLL_RECONFIG.O_DPRIOOUT601
dprioout[602] <= PLL_RECONFIG.O_DPRIOOUT602
dprioout[603] <= PLL_RECONFIG.O_DPRIOOUT603
dprioout[604] <= PLL_RECONFIG.O_DPRIOOUT604
dprioout[605] <= PLL_RECONFIG.O_DPRIOOUT605
dprioout[606] <= PLL_RECONFIG.O_DPRIOOUT606
dprioout[607] <= PLL_RECONFIG.O_DPRIOOUT607
dprioout[608] <= PLL_RECONFIG.O_DPRIOOUT608
dprioout[609] <= PLL_RECONFIG.O_DPRIOOUT609
dprioout[610] <= PLL_RECONFIG.O_DPRIOOUT610
dprioout[611] <= PLL_RECONFIG.O_DPRIOOUT611
dprioout[612] <= PLL_RECONFIG.O_DPRIOOUT612
dprioout[613] <= PLL_RECONFIG.O_DPRIOOUT613
dprioout[614] <= PLL_RECONFIG.O_DPRIOOUT614
dprioout[615] <= PLL_RECONFIG.O_DPRIOOUT615
dprioout[616] <= PLL_RECONFIG.O_DPRIOOUT616
dprioout[617] <= PLL_RECONFIG.O_DPRIOOUT617
dprioout[618] <= PLL_RECONFIG.O_DPRIOOUT618
dprioout[619] <= PLL_RECONFIG.O_DPRIOOUT619
dprioout[620] <= PLL_RECONFIG.O_DPRIOOUT620
dprioout[621] <= PLL_RECONFIG.O_DPRIOOUT621
dprioout[622] <= PLL_RECONFIG.O_DPRIOOUT622
dprioout[623] <= PLL_RECONFIG.O_DPRIOOUT623
dprioout[624] <= PLL_RECONFIG.O_DPRIOOUT624
dprioout[625] <= PLL_RECONFIG.O_DPRIOOUT625
dprioout[626] <= PLL_RECONFIG.O_DPRIOOUT626
dprioout[627] <= PLL_RECONFIG.O_DPRIOOUT627
dprioout[628] <= PLL_RECONFIG.O_DPRIOOUT628
dprioout[629] <= PLL_RECONFIG.O_DPRIOOUT629
dprioout[630] <= PLL_RECONFIG.O_DPRIOOUT630
dprioout[631] <= PLL_RECONFIG.O_DPRIOOUT631
dprioout[632] <= PLL_RECONFIG.O_DPRIOOUT632
dprioout[633] <= PLL_RECONFIG.O_DPRIOOUT633
dprioout[634] <= PLL_RECONFIG.O_DPRIOOUT634
dprioout[635] <= PLL_RECONFIG.O_DPRIOOUT635
dprioout[636] <= PLL_RECONFIG.O_DPRIOOUT636
dprioout[637] <= PLL_RECONFIG.O_DPRIOOUT637
dprioout[638] <= PLL_RECONFIG.O_DPRIOOUT638
dprioout[639] <= PLL_RECONFIG.O_DPRIOOUT639
dprioout[640] <= PLL_RECONFIG.O_DPRIOOUT640
dprioout[641] <= PLL_RECONFIG.O_DPRIOOUT641
dprioout[642] <= PLL_RECONFIG.O_DPRIOOUT642
dprioout[643] <= PLL_RECONFIG.O_DPRIOOUT643
dprioout[644] <= PLL_RECONFIG.O_DPRIOOUT644
dprioout[645] <= PLL_RECONFIG.O_DPRIOOUT645
dprioout[646] <= PLL_RECONFIG.O_DPRIOOUT646
dprioout[647] <= PLL_RECONFIG.O_DPRIOOUT647
dprioout[648] <= PLL_RECONFIG.O_DPRIOOUT648
dprioout[649] <= PLL_RECONFIG.O_DPRIOOUT649
dprioout[650] <= PLL_RECONFIG.O_DPRIOOUT650
dprioout[651] <= PLL_RECONFIG.O_DPRIOOUT651
dprioout[652] <= PLL_RECONFIG.O_DPRIOOUT652
dprioout[653] <= PLL_RECONFIG.O_DPRIOOUT653
dprioout[654] <= PLL_RECONFIG.O_DPRIOOUT654
dprioout[655] <= PLL_RECONFIG.O_DPRIOOUT655
dprioout[656] <= PLL_RECONFIG.O_DPRIOOUT656
dprioout[657] <= PLL_RECONFIG.O_DPRIOOUT657
dprioout[658] <= PLL_RECONFIG.O_DPRIOOUT658
dprioout[659] <= PLL_RECONFIG.O_DPRIOOUT659
dprioout[660] <= PLL_RECONFIG.O_DPRIOOUT660
dprioout[661] <= PLL_RECONFIG.O_DPRIOOUT661
dprioout[662] <= PLL_RECONFIG.O_DPRIOOUT662
dprioout[663] <= PLL_RECONFIG.O_DPRIOOUT663
dprioout[664] <= PLL_RECONFIG.O_DPRIOOUT664
dprioout[665] <= PLL_RECONFIG.O_DPRIOOUT665
dprioout[666] <= PLL_RECONFIG.O_DPRIOOUT666
dprioout[667] <= PLL_RECONFIG.O_DPRIOOUT667
dprioout[668] <= PLL_RECONFIG.O_DPRIOOUT668
dprioout[669] <= PLL_RECONFIG.O_DPRIOOUT669
dprioout[670] <= PLL_RECONFIG.O_DPRIOOUT670
dprioout[671] <= PLL_RECONFIG.O_DPRIOOUT671
dprioout[672] <= PLL_RECONFIG.O_DPRIOOUT672
dprioout[673] <= PLL_RECONFIG.O_DPRIOOUT673
dprioout[674] <= PLL_RECONFIG.O_DPRIOOUT674
dprioout[675] <= PLL_RECONFIG.O_DPRIOOUT675
dprioout[676] <= PLL_RECONFIG.O_DPRIOOUT676
dprioout[677] <= PLL_RECONFIG.O_DPRIOOUT677
dprioout[678] <= PLL_RECONFIG.O_DPRIOOUT678
dprioout[679] <= PLL_RECONFIG.O_DPRIOOUT679
dprioout[680] <= PLL_RECONFIG.O_DPRIOOUT680
dprioout[681] <= PLL_RECONFIG.O_DPRIOOUT681
dprioout[682] <= PLL_RECONFIG.O_DPRIOOUT682
dprioout[683] <= PLL_RECONFIG.O_DPRIOOUT683
dprioout[684] <= PLL_RECONFIG.O_DPRIOOUT684
dprioout[685] <= PLL_RECONFIG.O_DPRIOOUT685
dprioout[686] <= PLL_RECONFIG.O_DPRIOOUT686
dprioout[687] <= PLL_RECONFIG.O_DPRIOOUT687
dprioout[688] <= PLL_RECONFIG.O_DPRIOOUT688
dprioout[689] <= PLL_RECONFIG.O_DPRIOOUT689
dprioout[690] <= PLL_RECONFIG.O_DPRIOOUT690
dprioout[691] <= PLL_RECONFIG.O_DPRIOOUT691
dprioout[692] <= PLL_RECONFIG.O_DPRIOOUT692
dprioout[693] <= PLL_RECONFIG.O_DPRIOOUT693
dprioout[694] <= PLL_RECONFIG.O_DPRIOOUT694
dprioout[695] <= PLL_RECONFIG.O_DPRIOOUT695
dprioout[696] <= PLL_RECONFIG.O_DPRIOOUT696
dprioout[697] <= PLL_RECONFIG.O_DPRIOOUT697
dprioout[698] <= PLL_RECONFIG.O_DPRIOOUT698
dprioout[699] <= PLL_RECONFIG.O_DPRIOOUT699
dprioout[700] <= PLL_RECONFIG.O_DPRIOOUT700
dprioout[701] <= PLL_RECONFIG.O_DPRIOOUT701
dprioout[702] <= PLL_RECONFIG.O_DPRIOOUT702
dprioout[703] <= PLL_RECONFIG.O_DPRIOOUT703
dprioout[704] <= PLL_RECONFIG.O_DPRIOOUT704
dprioout[705] <= PLL_RECONFIG.O_DPRIOOUT705
dprioout[706] <= PLL_RECONFIG.O_DPRIOOUT706
dprioout[707] <= PLL_RECONFIG.O_DPRIOOUT707
dprioout[708] <= PLL_RECONFIG.O_DPRIOOUT708
dprioout[709] <= PLL_RECONFIG.O_DPRIOOUT709
dprioout[710] <= PLL_RECONFIG.O_DPRIOOUT710
dprioout[711] <= PLL_RECONFIG.O_DPRIOOUT711
dprioout[712] <= PLL_RECONFIG.O_DPRIOOUT712
dprioout[713] <= PLL_RECONFIG.O_DPRIOOUT713
dprioout[714] <= PLL_RECONFIG.O_DPRIOOUT714
dprioout[715] <= PLL_RECONFIG.O_DPRIOOUT715
dprioout[716] <= PLL_RECONFIG.O_DPRIOOUT716
dprioout[717] <= PLL_RECONFIG.O_DPRIOOUT717
dprioout[718] <= PLL_RECONFIG.O_DPRIOOUT718
dprioout[719] <= PLL_RECONFIG.O_DPRIOOUT719
dprioout[720] <= PLL_RECONFIG.O_DPRIOOUT720
dprioout[721] <= PLL_RECONFIG.O_DPRIOOUT721
dprioout[722] <= PLL_RECONFIG.O_DPRIOOUT722
dprioout[723] <= PLL_RECONFIG.O_DPRIOOUT723
dprioout[724] <= PLL_RECONFIG.O_DPRIOOUT724
dprioout[725] <= PLL_RECONFIG.O_DPRIOOUT725
dprioout[726] <= PLL_RECONFIG.O_DPRIOOUT726
dprioout[727] <= PLL_RECONFIG.O_DPRIOOUT727
dprioout[728] <= PLL_RECONFIG.O_DPRIOOUT728
dprioout[729] <= PLL_RECONFIG.O_DPRIOOUT729
dprioout[730] <= PLL_RECONFIG.O_DPRIOOUT730
dprioout[731] <= PLL_RECONFIG.O_DPRIOOUT731
dprioout[732] <= PLL_RECONFIG.O_DPRIOOUT732
dprioout[733] <= PLL_RECONFIG.O_DPRIOOUT733
dprioout[734] <= PLL_RECONFIG.O_DPRIOOUT734
dprioout[735] <= PLL_RECONFIG.O_DPRIOOUT735
dprioout[736] <= PLL_RECONFIG.O_DPRIOOUT736
dprioout[737] <= PLL_RECONFIG.O_DPRIOOUT737
dprioout[738] <= PLL_RECONFIG.O_DPRIOOUT738
dprioout[739] <= PLL_RECONFIG.O_DPRIOOUT739
dprioout[740] <= PLL_RECONFIG.O_DPRIOOUT740
dprioout[741] <= PLL_RECONFIG.O_DPRIOOUT741
dprioout[742] <= PLL_RECONFIG.O_DPRIOOUT742
dprioout[743] <= PLL_RECONFIG.O_DPRIOOUT743
dprioout[744] <= PLL_RECONFIG.O_DPRIOOUT744
dprioout[745] <= PLL_RECONFIG.O_DPRIOOUT745
dprioout[746] <= PLL_RECONFIG.O_DPRIOOUT746
dprioout[747] <= PLL_RECONFIG.O_DPRIOOUT747
dprioout[748] <= PLL_RECONFIG.O_DPRIOOUT748
dprioout[749] <= PLL_RECONFIG.O_DPRIOOUT749
dprioout[750] <= PLL_RECONFIG.O_DPRIOOUT750
dprioout[751] <= PLL_RECONFIG.O_DPRIOOUT751
dprioout[752] <= PLL_RECONFIG.O_DPRIOOUT752
dprioout[753] <= PLL_RECONFIG.O_DPRIOOUT753
dprioout[754] <= PLL_RECONFIG.O_DPRIOOUT754
dprioout[755] <= PLL_RECONFIG.O_DPRIOOUT755
dprioout[756] <= PLL_RECONFIG.O_DPRIOOUT756
dprioout[757] <= PLL_RECONFIG.O_DPRIOOUT757
dprioout[758] <= PLL_RECONFIG.O_DPRIOOUT758
dprioout[759] <= PLL_RECONFIG.O_DPRIOOUT759
dprioout[760] <= PLL_RECONFIG.O_DPRIOOUT760
dprioout[761] <= PLL_RECONFIG.O_DPRIOOUT761
dprioout[762] <= PLL_RECONFIG.O_DPRIOOUT762
dprioout[763] <= PLL_RECONFIG.O_DPRIOOUT763
dprioout[764] <= PLL_RECONFIG.O_DPRIOOUT764
dprioout[765] <= PLL_RECONFIG.O_DPRIOOUT765
dprioout[766] <= PLL_RECONFIG.O_DPRIOOUT766
dprioout[767] <= PLL_RECONFIG.O_DPRIOOUT767
dprioout[768] <= PLL_RECONFIG.O_DPRIOOUT768
dprioout[769] <= PLL_RECONFIG.O_DPRIOOUT769
dprioout[770] <= PLL_RECONFIG.O_DPRIOOUT770
dprioout[771] <= PLL_RECONFIG.O_DPRIOOUT771
dprioout[772] <= PLL_RECONFIG.O_DPRIOOUT772
dprioout[773] <= PLL_RECONFIG.O_DPRIOOUT773
dprioout[774] <= PLL_RECONFIG.O_DPRIOOUT774
dprioout[775] <= PLL_RECONFIG.O_DPRIOOUT775
dprioout[776] <= PLL_RECONFIG.O_DPRIOOUT776
dprioout[777] <= PLL_RECONFIG.O_DPRIOOUT777
dprioout[778] <= PLL_RECONFIG.O_DPRIOOUT778
dprioout[779] <= PLL_RECONFIG.O_DPRIOOUT779
dprioout[780] <= PLL_RECONFIG.O_DPRIOOUT780
dprioout[781] <= PLL_RECONFIG.O_DPRIOOUT781
dprioout[782] <= PLL_RECONFIG.O_DPRIOOUT782
dprioout[783] <= PLL_RECONFIG.O_DPRIOOUT783
dprioout[784] <= PLL_RECONFIG.O_DPRIOOUT784
dprioout[785] <= PLL_RECONFIG.O_DPRIOOUT785
dprioout[786] <= PLL_RECONFIG.O_DPRIOOUT786
dprioout[787] <= PLL_RECONFIG.O_DPRIOOUT787
dprioout[788] <= PLL_RECONFIG.O_DPRIOOUT788
dprioout[789] <= PLL_RECONFIG.O_DPRIOOUT789
dprioout[790] <= PLL_RECONFIG.O_DPRIOOUT790
dprioout[791] <= PLL_RECONFIG.O_DPRIOOUT791
dprioout[792] <= PLL_RECONFIG.O_DPRIOOUT792
dprioout[793] <= PLL_RECONFIG.O_DPRIOOUT793
dprioout[794] <= PLL_RECONFIG.O_DPRIOOUT794
dprioout[795] <= PLL_RECONFIG.O_DPRIOOUT795
dprioout[796] <= PLL_RECONFIG.O_DPRIOOUT796
dprioout[797] <= PLL_RECONFIG.O_DPRIOOUT797
dprioout[798] <= PLL_RECONFIG.O_DPRIOOUT798
dprioout[799] <= PLL_RECONFIG.O_DPRIOOUT799
dprioout[800] <= PLL_RECONFIG.O_DPRIOOUT800
dprioout[801] <= PLL_RECONFIG.O_DPRIOOUT801
dprioout[802] <= PLL_RECONFIG.O_DPRIOOUT802
dprioout[803] <= PLL_RECONFIG.O_DPRIOOUT803
dprioout[804] <= PLL_RECONFIG.O_DPRIOOUT804
dprioout[805] <= PLL_RECONFIG.O_DPRIOOUT805
dprioout[806] <= PLL_RECONFIG.O_DPRIOOUT806
dprioout[807] <= PLL_RECONFIG.O_DPRIOOUT807
dprioout[808] <= PLL_RECONFIG.O_DPRIOOUT808
dprioout[809] <= PLL_RECONFIG.O_DPRIOOUT809
dprioout[810] <= PLL_RECONFIG.O_DPRIOOUT810
dprioout[811] <= PLL_RECONFIG.O_DPRIOOUT811
dprioout[812] <= PLL_RECONFIG.O_DPRIOOUT812
dprioout[813] <= PLL_RECONFIG.O_DPRIOOUT813
dprioout[814] <= PLL_RECONFIG.O_DPRIOOUT814
dprioout[815] <= PLL_RECONFIG.O_DPRIOOUT815
shiften[0] <= PLL_RECONFIG.O_SHIFTEN
shiften[1] <= PLL_RECONFIG.O_SHIFTEN1
shiften[2] <= PLL_RECONFIG.O_SHIFTEN2
shiften[3] <= PLL_RECONFIG.O_SHIFTEN3
shiften[4] <= PLL_RECONFIG.O_SHIFTEN4
shiften[5] <= PLL_RECONFIG.O_SHIFTEN5
shiften[6] <= PLL_RECONFIG.O_SHIFTEN6
shiften[7] <= PLL_RECONFIG.O_SHIFTEN7
shiften[8] <= PLL_RECONFIG.O_SHIFTEN8
fbclkfpll => fpll.I_FBCLKFPLL
lvdfbin => fpll.I_LVDSFBIN
nresync => fpll.I_NRESYNC
pfden => fpll.I_PFDEN
shiften_input_port => ~NO_FANOUT~
zdb => fpll.I_ZDB
cntnen <= fpll.O_CNTNEN
fbout_clk <= fpll.O_FBCLK
fblvdsout <= fpll.O_FBLVDSOUT
lock <= fpll.O_LOCK
mcntout <= fpll.O_MCNTOUT
plniotribuf <= fpll.O_PLNIOTRIBUF
shiftdoneout <= fpll.O_SHIFTDONEOUT
tclk <= fpll.O_TCLK
vcoph[0] <= fpll.O_VCOPH
vcoph[1] <= fpll.O_VCOPH1
vcoph[2] <= fpll.O_VCOPH2
vcoph[3] <= fpll.O_VCOPH3
vcoph[4] <= fpll.O_VCOPH4
vcoph[5] <= fpll.O_VCOPH5
vcoph[6] <= fpll.O_VCOPH6
vcoph[7] <= fpll.O_VCOPH7


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:timecode_ready_rx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_timecode_rx:timecode_rx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port[0] => read_mux_out[0].IN1
in_port[1] => read_mux_out[1].IN1
in_port[2] => read_mux_out[2].IN1
in_port[3] => read_mux_out[3].IN1
in_port[4] => read_mux_out[4].IN1
in_port[5] => read_mux_out[5].IN1
in_port[6] => read_mux_out[6].IN1
in_port[7] => read_mux_out[7].IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_timecode_tx_data:timecode_tx_data
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out[0].CLK
clk => data_out[1].CLK
clk => data_out[2].CLK
clk => data_out[3].CLK
clk => data_out[4].CLK
clk => data_out[5].CLK
clk => data_out[6].CLK
clk => data_out[7].CLK
reset_n => data_out[0].ACLR
reset_n => data_out[1].ACLR
reset_n => data_out[2].ACLR
reset_n => data_out[3].ACLR
reset_n => data_out[4].ACLR
reset_n => data_out[5].ACLR
reset_n => data_out[6].ACLR
reset_n => data_out[7].ACLR
write_n => always0.IN1
writedata[0] => data_out[0].DATAIN
writedata[1] => data_out[1].DATAIN
writedata[2] => data_out[2].DATAIN
writedata[3] => data_out[3].DATAIN
writedata[4] => data_out[4].DATAIN
writedata[5] => data_out[5].DATAIN
writedata[6] => data_out[6].DATAIN
writedata[7] => data_out[7].DATAIN
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port[0] <= data_out[0].DB_MAX_OUTPUT_PORT_TYPE
out_port[1] <= data_out[1].DB_MAX_OUTPUT_PORT_TYPE
out_port[2] <= data_out[2].DB_MAX_OUTPUT_PORT_TYPE
out_port[3] <= data_out[3].DB_MAX_OUTPUT_PORT_TYPE
out_port[4] <= data_out[4].DB_MAX_OUTPUT_PORT_TYPE
out_port[5] <= data_out[5].DB_MAX_OUTPUT_PORT_TYPE
out_port[6] <= data_out[6].DB_MAX_OUTPUT_PORT_TYPE
out_port[7] <= data_out[7].DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:timecode_tx_enable
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_fifo_empty_rx_status:timecode_tx_ready
address[0] => Equal0.IN31
address[1] => Equal0.IN30
clk => readdata[0]~reg0.CLK
clk => readdata[1]~reg0.CLK
clk => readdata[2]~reg0.CLK
clk => readdata[3]~reg0.CLK
clk => readdata[4]~reg0.CLK
clk => readdata[5]~reg0.CLK
clk => readdata[6]~reg0.CLK
clk => readdata[7]~reg0.CLK
clk => readdata[8]~reg0.CLK
clk => readdata[9]~reg0.CLK
clk => readdata[10]~reg0.CLK
clk => readdata[11]~reg0.CLK
clk => readdata[12]~reg0.CLK
clk => readdata[13]~reg0.CLK
clk => readdata[14]~reg0.CLK
clk => readdata[15]~reg0.CLK
clk => readdata[16]~reg0.CLK
clk => readdata[17]~reg0.CLK
clk => readdata[18]~reg0.CLK
clk => readdata[19]~reg0.CLK
clk => readdata[20]~reg0.CLK
clk => readdata[21]~reg0.CLK
clk => readdata[22]~reg0.CLK
clk => readdata[23]~reg0.CLK
clk => readdata[24]~reg0.CLK
clk => readdata[25]~reg0.CLK
clk => readdata[26]~reg0.CLK
clk => readdata[27]~reg0.CLK
clk => readdata[28]~reg0.CLK
clk => readdata[29]~reg0.CLK
clk => readdata[30]~reg0.CLK
clk => readdata[31]~reg0.CLK
in_port => read_mux_out.IN1
reset_n => readdata[0]~reg0.ACLR
reset_n => readdata[1]~reg0.ACLR
reset_n => readdata[2]~reg0.ACLR
reset_n => readdata[3]~reg0.ACLR
reset_n => readdata[4]~reg0.ACLR
reset_n => readdata[5]~reg0.ACLR
reset_n => readdata[6]~reg0.ACLR
reset_n => readdata[7]~reg0.ACLR
reset_n => readdata[8]~reg0.ACLR
reset_n => readdata[9]~reg0.ACLR
reset_n => readdata[10]~reg0.ACLR
reset_n => readdata[11]~reg0.ACLR
reset_n => readdata[12]~reg0.ACLR
reset_n => readdata[13]~reg0.ACLR
reset_n => readdata[14]~reg0.ACLR
reset_n => readdata[15]~reg0.ACLR
reset_n => readdata[16]~reg0.ACLR
reset_n => readdata[17]~reg0.ACLR
reset_n => readdata[18]~reg0.ACLR
reset_n => readdata[19]~reg0.ACLR
reset_n => readdata[20]~reg0.ACLR
reset_n => readdata[21]~reg0.ACLR
reset_n => readdata[22]~reg0.ACLR
reset_n => readdata[23]~reg0.ACLR
reset_n => readdata[24]~reg0.ACLR
reset_n => readdata[25]~reg0.ACLR
reset_n => readdata[26]~reg0.ACLR
reset_n => readdata[27]~reg0.ACLR
reset_n => readdata[28]~reg0.ACLR
reset_n => readdata[29]~reg0.ACLR
reset_n => readdata[30]~reg0.ACLR
reset_n => readdata[31]~reg0.ACLR
readdata[0] <= readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[10] <= readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[11] <= readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[12] <= readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[13] <= readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[14] <= readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[15] <= readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[16] <= readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[17] <= readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[18] <= readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[19] <= readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[20] <= readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[21] <= readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[22] <= readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[23] <= readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[24] <= readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[25] <= readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[26] <= readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[27] <= readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[28] <= readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[29] <= readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[30] <= readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
readdata[31] <= readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_write_data_fifo_tx:write_data_fifo_tx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out[0].CLK
clk => data_out[1].CLK
clk => data_out[2].CLK
clk => data_out[3].CLK
clk => data_out[4].CLK
clk => data_out[5].CLK
clk => data_out[6].CLK
clk => data_out[7].CLK
clk => data_out[8].CLK
reset_n => data_out[0].ACLR
reset_n => data_out[1].ACLR
reset_n => data_out[2].ACLR
reset_n => data_out[3].ACLR
reset_n => data_out[4].ACLR
reset_n => data_out[5].ACLR
reset_n => data_out[6].ACLR
reset_n => data_out[7].ACLR
reset_n => data_out[8].ACLR
write_n => always0.IN1
writedata[0] => data_out[0].DATAIN
writedata[1] => data_out[1].DATAIN
writedata[2] => data_out[2].DATAIN
writedata[3] => data_out[3].DATAIN
writedata[4] => data_out[4].DATAIN
writedata[5] => data_out[5].DATAIN
writedata[6] => data_out[6].DATAIN
writedata[7] => data_out[7].DATAIN
writedata[8] => data_out[8].DATAIN
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port[0] <= data_out[0].DB_MAX_OUTPUT_PORT_TYPE
out_port[1] <= data_out[1].DB_MAX_OUTPUT_PORT_TYPE
out_port[2] <= data_out[2].DB_MAX_OUTPUT_PORT_TYPE
out_port[3] <= data_out[3].DB_MAX_OUTPUT_PORT_TYPE
out_port[4] <= data_out[4].DB_MAX_OUTPUT_PORT_TYPE
out_port[5] <= data_out[5].DB_MAX_OUTPUT_PORT_TYPE
out_port[6] <= data_out[6].DB_MAX_OUTPUT_PORT_TYPE
out_port[7] <= data_out[7].DB_MAX_OUTPUT_PORT_TYPE
out_port[8] <= data_out[8].DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[2] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[3] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[4] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[5] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[6] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[7] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[8] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_auto_start:write_en_tx
address[0] => Equal0.IN31
address[1] => Equal0.IN30
chipselect => always0.IN0
clk => data_out.CLK
reset_n => data_out.ACLR
write_n => always0.IN1
writedata[0] => data_out.DATAIN
writedata[1] => ~NO_FANOUT~
writedata[2] => ~NO_FANOUT~
writedata[3] => ~NO_FANOUT~
writedata[4] => ~NO_FANOUT~
writedata[5] => ~NO_FANOUT~
writedata[6] => ~NO_FANOUT~
writedata[7] => ~NO_FANOUT~
writedata[8] => ~NO_FANOUT~
writedata[9] => ~NO_FANOUT~
writedata[10] => ~NO_FANOUT~
writedata[11] => ~NO_FANOUT~
writedata[12] => ~NO_FANOUT~
writedata[13] => ~NO_FANOUT~
writedata[14] => ~NO_FANOUT~
writedata[15] => ~NO_FANOUT~
writedata[16] => ~NO_FANOUT~
writedata[17] => ~NO_FANOUT~
writedata[18] => ~NO_FANOUT~
writedata[19] => ~NO_FANOUT~
writedata[20] => ~NO_FANOUT~
writedata[21] => ~NO_FANOUT~
writedata[22] => ~NO_FANOUT~
writedata[23] => ~NO_FANOUT~
writedata[24] => ~NO_FANOUT~
writedata[25] => ~NO_FANOUT~
writedata[26] => ~NO_FANOUT~
writedata[27] => ~NO_FANOUT~
writedata[28] => ~NO_FANOUT~
writedata[29] => ~NO_FANOUT~
writedata[30] => ~NO_FANOUT~
writedata[31] => ~NO_FANOUT~
out_port <= data_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[0] <= read_mux_out.DB_MAX_OUTPUT_PORT_TYPE
readdata[1] <= <GND>
readdata[2] <= <GND>
readdata[3] <= <GND>
readdata[4] <= <GND>
readdata[5] <= <GND>
readdata[6] <= <GND>
readdata[7] <= <GND>
readdata[8] <= <GND>
readdata[9] <= <GND>
readdata[10] <= <GND>
readdata[11] <= <GND>
readdata[12] <= <GND>
readdata[13] <= <GND>
readdata[14] <= <GND>
readdata[15] <= <GND>
readdata[16] <= <GND>
readdata[17] <= <GND>
readdata[18] <= <GND>
readdata[19] <= <GND>
readdata[20] <= <GND>
readdata[21] <= <GND>
readdata[22] <= <GND>
readdata[23] <= <GND>
readdata[24] <= <GND>
readdata[25] <= <GND>
readdata[26] <= <GND>
readdata[27] <= <GND>
readdata[28] <= <GND>
readdata[29] <= <GND>
readdata[30] <= <GND>
readdata[31] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0
hps_0_h2f_axi_master_awid[0] => hps_0_h2f_axi_master_awid[0].IN1
hps_0_h2f_axi_master_awid[1] => hps_0_h2f_axi_master_awid[1].IN1
hps_0_h2f_axi_master_awid[2] => hps_0_h2f_axi_master_awid[2].IN1
hps_0_h2f_axi_master_awid[3] => hps_0_h2f_axi_master_awid[3].IN1
hps_0_h2f_axi_master_awid[4] => hps_0_h2f_axi_master_awid[4].IN1
hps_0_h2f_axi_master_awid[5] => hps_0_h2f_axi_master_awid[5].IN1
hps_0_h2f_axi_master_awid[6] => hps_0_h2f_axi_master_awid[6].IN1
hps_0_h2f_axi_master_awid[7] => hps_0_h2f_axi_master_awid[7].IN1
hps_0_h2f_axi_master_awid[8] => hps_0_h2f_axi_master_awid[8].IN1
hps_0_h2f_axi_master_awid[9] => hps_0_h2f_axi_master_awid[9].IN1
hps_0_h2f_axi_master_awid[10] => hps_0_h2f_axi_master_awid[10].IN1
hps_0_h2f_axi_master_awid[11] => hps_0_h2f_axi_master_awid[11].IN1
hps_0_h2f_axi_master_awaddr[0] => hps_0_h2f_axi_master_awaddr[0].IN1
hps_0_h2f_axi_master_awaddr[1] => hps_0_h2f_axi_master_awaddr[1].IN1
hps_0_h2f_axi_master_awaddr[2] => hps_0_h2f_axi_master_awaddr[2].IN1
hps_0_h2f_axi_master_awaddr[3] => hps_0_h2f_axi_master_awaddr[3].IN1
hps_0_h2f_axi_master_awaddr[4] => hps_0_h2f_axi_master_awaddr[4].IN1
hps_0_h2f_axi_master_awaddr[5] => hps_0_h2f_axi_master_awaddr[5].IN1
hps_0_h2f_axi_master_awaddr[6] => hps_0_h2f_axi_master_awaddr[6].IN1
hps_0_h2f_axi_master_awaddr[7] => hps_0_h2f_axi_master_awaddr[7].IN1
hps_0_h2f_axi_master_awaddr[8] => hps_0_h2f_axi_master_awaddr[8].IN1
hps_0_h2f_axi_master_awaddr[9] => hps_0_h2f_axi_master_awaddr[9].IN1
hps_0_h2f_axi_master_awaddr[10] => hps_0_h2f_axi_master_awaddr[10].IN1
hps_0_h2f_axi_master_awaddr[11] => hps_0_h2f_axi_master_awaddr[11].IN1
hps_0_h2f_axi_master_awaddr[12] => hps_0_h2f_axi_master_awaddr[12].IN1
hps_0_h2f_axi_master_awaddr[13] => hps_0_h2f_axi_master_awaddr[13].IN1
hps_0_h2f_axi_master_awaddr[14] => hps_0_h2f_axi_master_awaddr[14].IN1
hps_0_h2f_axi_master_awaddr[15] => hps_0_h2f_axi_master_awaddr[15].IN1
hps_0_h2f_axi_master_awaddr[16] => hps_0_h2f_axi_master_awaddr[16].IN1
hps_0_h2f_axi_master_awaddr[17] => hps_0_h2f_axi_master_awaddr[17].IN1
hps_0_h2f_axi_master_awaddr[18] => hps_0_h2f_axi_master_awaddr[18].IN1
hps_0_h2f_axi_master_awaddr[19] => hps_0_h2f_axi_master_awaddr[19].IN1
hps_0_h2f_axi_master_awaddr[20] => hps_0_h2f_axi_master_awaddr[20].IN1
hps_0_h2f_axi_master_awaddr[21] => hps_0_h2f_axi_master_awaddr[21].IN1
hps_0_h2f_axi_master_awaddr[22] => hps_0_h2f_axi_master_awaddr[22].IN1
hps_0_h2f_axi_master_awaddr[23] => hps_0_h2f_axi_master_awaddr[23].IN1
hps_0_h2f_axi_master_awaddr[24] => hps_0_h2f_axi_master_awaddr[24].IN1
hps_0_h2f_axi_master_awaddr[25] => hps_0_h2f_axi_master_awaddr[25].IN1
hps_0_h2f_axi_master_awaddr[26] => hps_0_h2f_axi_master_awaddr[26].IN1
hps_0_h2f_axi_master_awaddr[27] => hps_0_h2f_axi_master_awaddr[27].IN1
hps_0_h2f_axi_master_awaddr[28] => hps_0_h2f_axi_master_awaddr[28].IN1
hps_0_h2f_axi_master_awaddr[29] => hps_0_h2f_axi_master_awaddr[29].IN1
hps_0_h2f_axi_master_awlen[0] => hps_0_h2f_axi_master_awlen[0].IN1
hps_0_h2f_axi_master_awlen[1] => hps_0_h2f_axi_master_awlen[1].IN1
hps_0_h2f_axi_master_awlen[2] => hps_0_h2f_axi_master_awlen[2].IN1
hps_0_h2f_axi_master_awlen[3] => hps_0_h2f_axi_master_awlen[3].IN1
hps_0_h2f_axi_master_awsize[0] => hps_0_h2f_axi_master_awsize[0].IN1
hps_0_h2f_axi_master_awsize[1] => hps_0_h2f_axi_master_awsize[1].IN1
hps_0_h2f_axi_master_awsize[2] => hps_0_h2f_axi_master_awsize[2].IN1
hps_0_h2f_axi_master_awburst[0] => hps_0_h2f_axi_master_awburst[0].IN1
hps_0_h2f_axi_master_awburst[1] => hps_0_h2f_axi_master_awburst[1].IN1
hps_0_h2f_axi_master_awlock[0] => hps_0_h2f_axi_master_awlock[0].IN1
hps_0_h2f_axi_master_awlock[1] => hps_0_h2f_axi_master_awlock[1].IN1
hps_0_h2f_axi_master_awcache[0] => hps_0_h2f_axi_master_awcache[0].IN1
hps_0_h2f_axi_master_awcache[1] => hps_0_h2f_axi_master_awcache[1].IN1
hps_0_h2f_axi_master_awcache[2] => hps_0_h2f_axi_master_awcache[2].IN1
hps_0_h2f_axi_master_awcache[3] => hps_0_h2f_axi_master_awcache[3].IN1
hps_0_h2f_axi_master_awprot[0] => hps_0_h2f_axi_master_awprot[0].IN1
hps_0_h2f_axi_master_awprot[1] => hps_0_h2f_axi_master_awprot[1].IN1
hps_0_h2f_axi_master_awprot[2] => hps_0_h2f_axi_master_awprot[2].IN1
hps_0_h2f_axi_master_awvalid => hps_0_h2f_axi_master_awvalid.IN1
hps_0_h2f_axi_master_awready <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.awready
hps_0_h2f_axi_master_wid[0] => hps_0_h2f_axi_master_wid[0].IN1
hps_0_h2f_axi_master_wid[1] => hps_0_h2f_axi_master_wid[1].IN1
hps_0_h2f_axi_master_wid[2] => hps_0_h2f_axi_master_wid[2].IN1
hps_0_h2f_axi_master_wid[3] => hps_0_h2f_axi_master_wid[3].IN1
hps_0_h2f_axi_master_wid[4] => hps_0_h2f_axi_master_wid[4].IN1
hps_0_h2f_axi_master_wid[5] => hps_0_h2f_axi_master_wid[5].IN1
hps_0_h2f_axi_master_wid[6] => hps_0_h2f_axi_master_wid[6].IN1
hps_0_h2f_axi_master_wid[7] => hps_0_h2f_axi_master_wid[7].IN1
hps_0_h2f_axi_master_wid[8] => hps_0_h2f_axi_master_wid[8].IN1
hps_0_h2f_axi_master_wid[9] => hps_0_h2f_axi_master_wid[9].IN1
hps_0_h2f_axi_master_wid[10] => hps_0_h2f_axi_master_wid[10].IN1
hps_0_h2f_axi_master_wid[11] => hps_0_h2f_axi_master_wid[11].IN1
hps_0_h2f_axi_master_wdata[0] => hps_0_h2f_axi_master_wdata[0].IN1
hps_0_h2f_axi_master_wdata[1] => hps_0_h2f_axi_master_wdata[1].IN1
hps_0_h2f_axi_master_wdata[2] => hps_0_h2f_axi_master_wdata[2].IN1
hps_0_h2f_axi_master_wdata[3] => hps_0_h2f_axi_master_wdata[3].IN1
hps_0_h2f_axi_master_wdata[4] => hps_0_h2f_axi_master_wdata[4].IN1
hps_0_h2f_axi_master_wdata[5] => hps_0_h2f_axi_master_wdata[5].IN1
hps_0_h2f_axi_master_wdata[6] => hps_0_h2f_axi_master_wdata[6].IN1
hps_0_h2f_axi_master_wdata[7] => hps_0_h2f_axi_master_wdata[7].IN1
hps_0_h2f_axi_master_wdata[8] => hps_0_h2f_axi_master_wdata[8].IN1
hps_0_h2f_axi_master_wdata[9] => hps_0_h2f_axi_master_wdata[9].IN1
hps_0_h2f_axi_master_wdata[10] => hps_0_h2f_axi_master_wdata[10].IN1
hps_0_h2f_axi_master_wdata[11] => hps_0_h2f_axi_master_wdata[11].IN1
hps_0_h2f_axi_master_wdata[12] => hps_0_h2f_axi_master_wdata[12].IN1
hps_0_h2f_axi_master_wdata[13] => hps_0_h2f_axi_master_wdata[13].IN1
hps_0_h2f_axi_master_wdata[14] => hps_0_h2f_axi_master_wdata[14].IN1
hps_0_h2f_axi_master_wdata[15] => hps_0_h2f_axi_master_wdata[15].IN1
hps_0_h2f_axi_master_wdata[16] => hps_0_h2f_axi_master_wdata[16].IN1
hps_0_h2f_axi_master_wdata[17] => hps_0_h2f_axi_master_wdata[17].IN1
hps_0_h2f_axi_master_wdata[18] => hps_0_h2f_axi_master_wdata[18].IN1
hps_0_h2f_axi_master_wdata[19] => hps_0_h2f_axi_master_wdata[19].IN1
hps_0_h2f_axi_master_wdata[20] => hps_0_h2f_axi_master_wdata[20].IN1
hps_0_h2f_axi_master_wdata[21] => hps_0_h2f_axi_master_wdata[21].IN1
hps_0_h2f_axi_master_wdata[22] => hps_0_h2f_axi_master_wdata[22].IN1
hps_0_h2f_axi_master_wdata[23] => hps_0_h2f_axi_master_wdata[23].IN1
hps_0_h2f_axi_master_wdata[24] => hps_0_h2f_axi_master_wdata[24].IN1
hps_0_h2f_axi_master_wdata[25] => hps_0_h2f_axi_master_wdata[25].IN1
hps_0_h2f_axi_master_wdata[26] => hps_0_h2f_axi_master_wdata[26].IN1
hps_0_h2f_axi_master_wdata[27] => hps_0_h2f_axi_master_wdata[27].IN1
hps_0_h2f_axi_master_wdata[28] => hps_0_h2f_axi_master_wdata[28].IN1
hps_0_h2f_axi_master_wdata[29] => hps_0_h2f_axi_master_wdata[29].IN1
hps_0_h2f_axi_master_wdata[30] => hps_0_h2f_axi_master_wdata[30].IN1
hps_0_h2f_axi_master_wdata[31] => hps_0_h2f_axi_master_wdata[31].IN1
hps_0_h2f_axi_master_wstrb[0] => hps_0_h2f_axi_master_wstrb[0].IN1
hps_0_h2f_axi_master_wstrb[1] => hps_0_h2f_axi_master_wstrb[1].IN1
hps_0_h2f_axi_master_wstrb[2] => hps_0_h2f_axi_master_wstrb[2].IN1
hps_0_h2f_axi_master_wstrb[3] => hps_0_h2f_axi_master_wstrb[3].IN1
hps_0_h2f_axi_master_wlast => hps_0_h2f_axi_master_wlast.IN1
hps_0_h2f_axi_master_wvalid => hps_0_h2f_axi_master_wvalid.IN1
hps_0_h2f_axi_master_wready <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.wready
hps_0_h2f_axi_master_bid[0] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[1] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[2] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[3] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[4] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[5] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[6] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[7] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[8] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[9] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[10] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bid[11] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bid
hps_0_h2f_axi_master_bresp[0] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bresp
hps_0_h2f_axi_master_bresp[1] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bresp
hps_0_h2f_axi_master_bvalid <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.bvalid
hps_0_h2f_axi_master_bready => hps_0_h2f_axi_master_bready.IN1
hps_0_h2f_axi_master_arid[0] => hps_0_h2f_axi_master_arid[0].IN1
hps_0_h2f_axi_master_arid[1] => hps_0_h2f_axi_master_arid[1].IN1
hps_0_h2f_axi_master_arid[2] => hps_0_h2f_axi_master_arid[2].IN1
hps_0_h2f_axi_master_arid[3] => hps_0_h2f_axi_master_arid[3].IN1
hps_0_h2f_axi_master_arid[4] => hps_0_h2f_axi_master_arid[4].IN1
hps_0_h2f_axi_master_arid[5] => hps_0_h2f_axi_master_arid[5].IN1
hps_0_h2f_axi_master_arid[6] => hps_0_h2f_axi_master_arid[6].IN1
hps_0_h2f_axi_master_arid[7] => hps_0_h2f_axi_master_arid[7].IN1
hps_0_h2f_axi_master_arid[8] => hps_0_h2f_axi_master_arid[8].IN1
hps_0_h2f_axi_master_arid[9] => hps_0_h2f_axi_master_arid[9].IN1
hps_0_h2f_axi_master_arid[10] => hps_0_h2f_axi_master_arid[10].IN1
hps_0_h2f_axi_master_arid[11] => hps_0_h2f_axi_master_arid[11].IN1
hps_0_h2f_axi_master_araddr[0] => hps_0_h2f_axi_master_araddr[0].IN1
hps_0_h2f_axi_master_araddr[1] => hps_0_h2f_axi_master_araddr[1].IN1
hps_0_h2f_axi_master_araddr[2] => hps_0_h2f_axi_master_araddr[2].IN1
hps_0_h2f_axi_master_araddr[3] => hps_0_h2f_axi_master_araddr[3].IN1
hps_0_h2f_axi_master_araddr[4] => hps_0_h2f_axi_master_araddr[4].IN1
hps_0_h2f_axi_master_araddr[5] => hps_0_h2f_axi_master_araddr[5].IN1
hps_0_h2f_axi_master_araddr[6] => hps_0_h2f_axi_master_araddr[6].IN1
hps_0_h2f_axi_master_araddr[7] => hps_0_h2f_axi_master_araddr[7].IN1
hps_0_h2f_axi_master_araddr[8] => hps_0_h2f_axi_master_araddr[8].IN1
hps_0_h2f_axi_master_araddr[9] => hps_0_h2f_axi_master_araddr[9].IN1
hps_0_h2f_axi_master_araddr[10] => hps_0_h2f_axi_master_araddr[10].IN1
hps_0_h2f_axi_master_araddr[11] => hps_0_h2f_axi_master_araddr[11].IN1
hps_0_h2f_axi_master_araddr[12] => hps_0_h2f_axi_master_araddr[12].IN1
hps_0_h2f_axi_master_araddr[13] => hps_0_h2f_axi_master_araddr[13].IN1
hps_0_h2f_axi_master_araddr[14] => hps_0_h2f_axi_master_araddr[14].IN1
hps_0_h2f_axi_master_araddr[15] => hps_0_h2f_axi_master_araddr[15].IN1
hps_0_h2f_axi_master_araddr[16] => hps_0_h2f_axi_master_araddr[16].IN1
hps_0_h2f_axi_master_araddr[17] => hps_0_h2f_axi_master_araddr[17].IN1
hps_0_h2f_axi_master_araddr[18] => hps_0_h2f_axi_master_araddr[18].IN1
hps_0_h2f_axi_master_araddr[19] => hps_0_h2f_axi_master_araddr[19].IN1
hps_0_h2f_axi_master_araddr[20] => hps_0_h2f_axi_master_araddr[20].IN1
hps_0_h2f_axi_master_araddr[21] => hps_0_h2f_axi_master_araddr[21].IN1
hps_0_h2f_axi_master_araddr[22] => hps_0_h2f_axi_master_araddr[22].IN1
hps_0_h2f_axi_master_araddr[23] => hps_0_h2f_axi_master_araddr[23].IN1
hps_0_h2f_axi_master_araddr[24] => hps_0_h2f_axi_master_araddr[24].IN1
hps_0_h2f_axi_master_araddr[25] => hps_0_h2f_axi_master_araddr[25].IN1
hps_0_h2f_axi_master_araddr[26] => hps_0_h2f_axi_master_araddr[26].IN1
hps_0_h2f_axi_master_araddr[27] => hps_0_h2f_axi_master_araddr[27].IN1
hps_0_h2f_axi_master_araddr[28] => hps_0_h2f_axi_master_araddr[28].IN1
hps_0_h2f_axi_master_araddr[29] => hps_0_h2f_axi_master_araddr[29].IN1
hps_0_h2f_axi_master_arlen[0] => hps_0_h2f_axi_master_arlen[0].IN1
hps_0_h2f_axi_master_arlen[1] => hps_0_h2f_axi_master_arlen[1].IN1
hps_0_h2f_axi_master_arlen[2] => hps_0_h2f_axi_master_arlen[2].IN1
hps_0_h2f_axi_master_arlen[3] => hps_0_h2f_axi_master_arlen[3].IN1
hps_0_h2f_axi_master_arsize[0] => hps_0_h2f_axi_master_arsize[0].IN1
hps_0_h2f_axi_master_arsize[1] => hps_0_h2f_axi_master_arsize[1].IN1
hps_0_h2f_axi_master_arsize[2] => hps_0_h2f_axi_master_arsize[2].IN1
hps_0_h2f_axi_master_arburst[0] => hps_0_h2f_axi_master_arburst[0].IN1
hps_0_h2f_axi_master_arburst[1] => hps_0_h2f_axi_master_arburst[1].IN1
hps_0_h2f_axi_master_arlock[0] => hps_0_h2f_axi_master_arlock[0].IN1
hps_0_h2f_axi_master_arlock[1] => hps_0_h2f_axi_master_arlock[1].IN1
hps_0_h2f_axi_master_arcache[0] => hps_0_h2f_axi_master_arcache[0].IN1
hps_0_h2f_axi_master_arcache[1] => hps_0_h2f_axi_master_arcache[1].IN1
hps_0_h2f_axi_master_arcache[2] => hps_0_h2f_axi_master_arcache[2].IN1
hps_0_h2f_axi_master_arcache[3] => hps_0_h2f_axi_master_arcache[3].IN1
hps_0_h2f_axi_master_arprot[0] => hps_0_h2f_axi_master_arprot[0].IN1
hps_0_h2f_axi_master_arprot[1] => hps_0_h2f_axi_master_arprot[1].IN1
hps_0_h2f_axi_master_arprot[2] => hps_0_h2f_axi_master_arprot[2].IN1
hps_0_h2f_axi_master_arvalid => hps_0_h2f_axi_master_arvalid.IN1
hps_0_h2f_axi_master_arready <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.arready
hps_0_h2f_axi_master_rid[0] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[1] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[2] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[3] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[4] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[5] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[6] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[7] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[8] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[9] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[10] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rid[11] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rid
hps_0_h2f_axi_master_rdata[0] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[1] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[2] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[3] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[4] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[5] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[6] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[7] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[8] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[9] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[10] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[11] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[12] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[13] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[14] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[15] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[16] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[17] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[18] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[19] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[20] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[21] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[22] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[23] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[24] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[25] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[26] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[27] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[28] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[29] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[30] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rdata[31] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rdata
hps_0_h2f_axi_master_rresp[0] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rresp
hps_0_h2f_axi_master_rresp[1] <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rresp
hps_0_h2f_axi_master_rlast <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rlast
hps_0_h2f_axi_master_rvalid <= altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent.rvalid
hps_0_h2f_axi_master_rready => hps_0_h2f_axi_master_rready.IN1
clk_0_clk_clk => clk_0_clk_clk.IN207
hps_0_h2f_axi_master_agent_clk_reset_reset_bridge_in_reset_reset => hps_0_h2f_axi_master_agent_clk_reset_reset_bridge_in_reset_reset.IN8
led_pio_test_reset_reset_bridge_in_reset_reset => led_pio_test_reset_reset_bridge_in_reset_reset.IN198
auto_start_s1_address[0] <= altera_merlin_slave_translator:auto_start_s1_translator.av_address
auto_start_s1_address[1] <= altera_merlin_slave_translator:auto_start_s1_translator.av_address
auto_start_s1_write <= altera_merlin_slave_translator:auto_start_s1_translator.av_write
auto_start_s1_readdata[0] => auto_start_s1_readdata[0].IN1
auto_start_s1_readdata[1] => auto_start_s1_readdata[1].IN1
auto_start_s1_readdata[2] => auto_start_s1_readdata[2].IN1
auto_start_s1_readdata[3] => auto_start_s1_readdata[3].IN1
auto_start_s1_readdata[4] => auto_start_s1_readdata[4].IN1
auto_start_s1_readdata[5] => auto_start_s1_readdata[5].IN1
auto_start_s1_readdata[6] => auto_start_s1_readdata[6].IN1
auto_start_s1_readdata[7] => auto_start_s1_readdata[7].IN1
auto_start_s1_readdata[8] => auto_start_s1_readdata[8].IN1
auto_start_s1_readdata[9] => auto_start_s1_readdata[9].IN1
auto_start_s1_readdata[10] => auto_start_s1_readdata[10].IN1
auto_start_s1_readdata[11] => auto_start_s1_readdata[11].IN1
auto_start_s1_readdata[12] => auto_start_s1_readdata[12].IN1
auto_start_s1_readdata[13] => auto_start_s1_readdata[13].IN1
auto_start_s1_readdata[14] => auto_start_s1_readdata[14].IN1
auto_start_s1_readdata[15] => auto_start_s1_readdata[15].IN1
auto_start_s1_readdata[16] => auto_start_s1_readdata[16].IN1
auto_start_s1_readdata[17] => auto_start_s1_readdata[17].IN1
auto_start_s1_readdata[18] => auto_start_s1_readdata[18].IN1
auto_start_s1_readdata[19] => auto_start_s1_readdata[19].IN1
auto_start_s1_readdata[20] => auto_start_s1_readdata[20].IN1
auto_start_s1_readdata[21] => auto_start_s1_readdata[21].IN1
auto_start_s1_readdata[22] => auto_start_s1_readdata[22].IN1
auto_start_s1_readdata[23] => auto_start_s1_readdata[23].IN1
auto_start_s1_readdata[24] => auto_start_s1_readdata[24].IN1
auto_start_s1_readdata[25] => auto_start_s1_readdata[25].IN1
auto_start_s1_readdata[26] => auto_start_s1_readdata[26].IN1
auto_start_s1_readdata[27] => auto_start_s1_readdata[27].IN1
auto_start_s1_readdata[28] => auto_start_s1_readdata[28].IN1
auto_start_s1_readdata[29] => auto_start_s1_readdata[29].IN1
auto_start_s1_readdata[30] => auto_start_s1_readdata[30].IN1
auto_start_s1_readdata[31] => auto_start_s1_readdata[31].IN1
auto_start_s1_writedata[0] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[1] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[2] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[3] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[4] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[5] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[6] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[7] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[8] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[9] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[10] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[11] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[12] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[13] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[14] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[15] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[16] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[17] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[18] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[19] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[20] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[21] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[22] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[23] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[24] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[25] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[26] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[27] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[28] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[29] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[30] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_writedata[31] <= altera_merlin_slave_translator:auto_start_s1_translator.av_writedata
auto_start_s1_chipselect <= altera_merlin_slave_translator:auto_start_s1_translator.av_chipselect
clock_sel_s1_address[0] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_address
clock_sel_s1_address[1] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_address
clock_sel_s1_write <= altera_merlin_slave_translator:clock_sel_s1_translator.av_write
clock_sel_s1_readdata[0] => clock_sel_s1_readdata[0].IN1
clock_sel_s1_readdata[1] => clock_sel_s1_readdata[1].IN1
clock_sel_s1_readdata[2] => clock_sel_s1_readdata[2].IN1
clock_sel_s1_readdata[3] => clock_sel_s1_readdata[3].IN1
clock_sel_s1_readdata[4] => clock_sel_s1_readdata[4].IN1
clock_sel_s1_readdata[5] => clock_sel_s1_readdata[5].IN1
clock_sel_s1_readdata[6] => clock_sel_s1_readdata[6].IN1
clock_sel_s1_readdata[7] => clock_sel_s1_readdata[7].IN1
clock_sel_s1_readdata[8] => clock_sel_s1_readdata[8].IN1
clock_sel_s1_readdata[9] => clock_sel_s1_readdata[9].IN1
clock_sel_s1_readdata[10] => clock_sel_s1_readdata[10].IN1
clock_sel_s1_readdata[11] => clock_sel_s1_readdata[11].IN1
clock_sel_s1_readdata[12] => clock_sel_s1_readdata[12].IN1
clock_sel_s1_readdata[13] => clock_sel_s1_readdata[13].IN1
clock_sel_s1_readdata[14] => clock_sel_s1_readdata[14].IN1
clock_sel_s1_readdata[15] => clock_sel_s1_readdata[15].IN1
clock_sel_s1_readdata[16] => clock_sel_s1_readdata[16].IN1
clock_sel_s1_readdata[17] => clock_sel_s1_readdata[17].IN1
clock_sel_s1_readdata[18] => clock_sel_s1_readdata[18].IN1
clock_sel_s1_readdata[19] => clock_sel_s1_readdata[19].IN1
clock_sel_s1_readdata[20] => clock_sel_s1_readdata[20].IN1
clock_sel_s1_readdata[21] => clock_sel_s1_readdata[21].IN1
clock_sel_s1_readdata[22] => clock_sel_s1_readdata[22].IN1
clock_sel_s1_readdata[23] => clock_sel_s1_readdata[23].IN1
clock_sel_s1_readdata[24] => clock_sel_s1_readdata[24].IN1
clock_sel_s1_readdata[25] => clock_sel_s1_readdata[25].IN1
clock_sel_s1_readdata[26] => clock_sel_s1_readdata[26].IN1
clock_sel_s1_readdata[27] => clock_sel_s1_readdata[27].IN1
clock_sel_s1_readdata[28] => clock_sel_s1_readdata[28].IN1
clock_sel_s1_readdata[29] => clock_sel_s1_readdata[29].IN1
clock_sel_s1_readdata[30] => clock_sel_s1_readdata[30].IN1
clock_sel_s1_readdata[31] => clock_sel_s1_readdata[31].IN1
clock_sel_s1_writedata[0] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[1] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[2] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[3] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[4] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[5] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[6] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[7] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[8] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[9] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[10] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[11] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[12] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[13] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[14] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[15] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[16] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[17] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[18] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[19] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[20] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[21] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[22] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[23] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[24] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[25] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[26] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[27] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[28] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[29] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[30] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_writedata[31] <= altera_merlin_slave_translator:clock_sel_s1_translator.av_writedata
clock_sel_s1_chipselect <= altera_merlin_slave_translator:clock_sel_s1_translator.av_chipselect
counter_rx_fifo_s1_address[0] <= altera_merlin_slave_translator:counter_rx_fifo_s1_translator.av_address
counter_rx_fifo_s1_address[1] <= altera_merlin_slave_translator:counter_rx_fifo_s1_translator.av_address
counter_rx_fifo_s1_readdata[0] => counter_rx_fifo_s1_readdata[0].IN1
counter_rx_fifo_s1_readdata[1] => counter_rx_fifo_s1_readdata[1].IN1
counter_rx_fifo_s1_readdata[2] => counter_rx_fifo_s1_readdata[2].IN1
counter_rx_fifo_s1_readdata[3] => counter_rx_fifo_s1_readdata[3].IN1
counter_rx_fifo_s1_readdata[4] => counter_rx_fifo_s1_readdata[4].IN1
counter_rx_fifo_s1_readdata[5] => counter_rx_fifo_s1_readdata[5].IN1
counter_rx_fifo_s1_readdata[6] => counter_rx_fifo_s1_readdata[6].IN1
counter_rx_fifo_s1_readdata[7] => counter_rx_fifo_s1_readdata[7].IN1
counter_rx_fifo_s1_readdata[8] => counter_rx_fifo_s1_readdata[8].IN1
counter_rx_fifo_s1_readdata[9] => counter_rx_fifo_s1_readdata[9].IN1
counter_rx_fifo_s1_readdata[10] => counter_rx_fifo_s1_readdata[10].IN1
counter_rx_fifo_s1_readdata[11] => counter_rx_fifo_s1_readdata[11].IN1
counter_rx_fifo_s1_readdata[12] => counter_rx_fifo_s1_readdata[12].IN1
counter_rx_fifo_s1_readdata[13] => counter_rx_fifo_s1_readdata[13].IN1
counter_rx_fifo_s1_readdata[14] => counter_rx_fifo_s1_readdata[14].IN1
counter_rx_fifo_s1_readdata[15] => counter_rx_fifo_s1_readdata[15].IN1
counter_rx_fifo_s1_readdata[16] => counter_rx_fifo_s1_readdata[16].IN1
counter_rx_fifo_s1_readdata[17] => counter_rx_fifo_s1_readdata[17].IN1
counter_rx_fifo_s1_readdata[18] => counter_rx_fifo_s1_readdata[18].IN1
counter_rx_fifo_s1_readdata[19] => counter_rx_fifo_s1_readdata[19].IN1
counter_rx_fifo_s1_readdata[20] => counter_rx_fifo_s1_readdata[20].IN1
counter_rx_fifo_s1_readdata[21] => counter_rx_fifo_s1_readdata[21].IN1
counter_rx_fifo_s1_readdata[22] => counter_rx_fifo_s1_readdata[22].IN1
counter_rx_fifo_s1_readdata[23] => counter_rx_fifo_s1_readdata[23].IN1
counter_rx_fifo_s1_readdata[24] => counter_rx_fifo_s1_readdata[24].IN1
counter_rx_fifo_s1_readdata[25] => counter_rx_fifo_s1_readdata[25].IN1
counter_rx_fifo_s1_readdata[26] => counter_rx_fifo_s1_readdata[26].IN1
counter_rx_fifo_s1_readdata[27] => counter_rx_fifo_s1_readdata[27].IN1
counter_rx_fifo_s1_readdata[28] => counter_rx_fifo_s1_readdata[28].IN1
counter_rx_fifo_s1_readdata[29] => counter_rx_fifo_s1_readdata[29].IN1
counter_rx_fifo_s1_readdata[30] => counter_rx_fifo_s1_readdata[30].IN1
counter_rx_fifo_s1_readdata[31] => counter_rx_fifo_s1_readdata[31].IN1
counter_tx_fifo_s1_address[0] <= altera_merlin_slave_translator:counter_tx_fifo_s1_translator.av_address
counter_tx_fifo_s1_address[1] <= altera_merlin_slave_translator:counter_tx_fifo_s1_translator.av_address
counter_tx_fifo_s1_readdata[0] => counter_tx_fifo_s1_readdata[0].IN1
counter_tx_fifo_s1_readdata[1] => counter_tx_fifo_s1_readdata[1].IN1
counter_tx_fifo_s1_readdata[2] => counter_tx_fifo_s1_readdata[2].IN1
counter_tx_fifo_s1_readdata[3] => counter_tx_fifo_s1_readdata[3].IN1
counter_tx_fifo_s1_readdata[4] => counter_tx_fifo_s1_readdata[4].IN1
counter_tx_fifo_s1_readdata[5] => counter_tx_fifo_s1_readdata[5].IN1
counter_tx_fifo_s1_readdata[6] => counter_tx_fifo_s1_readdata[6].IN1
counter_tx_fifo_s1_readdata[7] => counter_tx_fifo_s1_readdata[7].IN1
counter_tx_fifo_s1_readdata[8] => counter_tx_fifo_s1_readdata[8].IN1
counter_tx_fifo_s1_readdata[9] => counter_tx_fifo_s1_readdata[9].IN1
counter_tx_fifo_s1_readdata[10] => counter_tx_fifo_s1_readdata[10].IN1
counter_tx_fifo_s1_readdata[11] => counter_tx_fifo_s1_readdata[11].IN1
counter_tx_fifo_s1_readdata[12] => counter_tx_fifo_s1_readdata[12].IN1
counter_tx_fifo_s1_readdata[13] => counter_tx_fifo_s1_readdata[13].IN1
counter_tx_fifo_s1_readdata[14] => counter_tx_fifo_s1_readdata[14].IN1
counter_tx_fifo_s1_readdata[15] => counter_tx_fifo_s1_readdata[15].IN1
counter_tx_fifo_s1_readdata[16] => counter_tx_fifo_s1_readdata[16].IN1
counter_tx_fifo_s1_readdata[17] => counter_tx_fifo_s1_readdata[17].IN1
counter_tx_fifo_s1_readdata[18] => counter_tx_fifo_s1_readdata[18].IN1
counter_tx_fifo_s1_readdata[19] => counter_tx_fifo_s1_readdata[19].IN1
counter_tx_fifo_s1_readdata[20] => counter_tx_fifo_s1_readdata[20].IN1
counter_tx_fifo_s1_readdata[21] => counter_tx_fifo_s1_readdata[21].IN1
counter_tx_fifo_s1_readdata[22] => counter_tx_fifo_s1_readdata[22].IN1
counter_tx_fifo_s1_readdata[23] => counter_tx_fifo_s1_readdata[23].IN1
counter_tx_fifo_s1_readdata[24] => counter_tx_fifo_s1_readdata[24].IN1
counter_tx_fifo_s1_readdata[25] => counter_tx_fifo_s1_readdata[25].IN1
counter_tx_fifo_s1_readdata[26] => counter_tx_fifo_s1_readdata[26].IN1
counter_tx_fifo_s1_readdata[27] => counter_tx_fifo_s1_readdata[27].IN1
counter_tx_fifo_s1_readdata[28] => counter_tx_fifo_s1_readdata[28].IN1
counter_tx_fifo_s1_readdata[29] => counter_tx_fifo_s1_readdata[29].IN1
counter_tx_fifo_s1_readdata[30] => counter_tx_fifo_s1_readdata[30].IN1
counter_tx_fifo_s1_readdata[31] => counter_tx_fifo_s1_readdata[31].IN1
data_flag_rx_s1_address[0] <= altera_merlin_slave_translator:data_flag_rx_s1_translator.av_address
data_flag_rx_s1_address[1] <= altera_merlin_slave_translator:data_flag_rx_s1_translator.av_address
data_flag_rx_s1_readdata[0] => data_flag_rx_s1_readdata[0].IN1
data_flag_rx_s1_readdata[1] => data_flag_rx_s1_readdata[1].IN1
data_flag_rx_s1_readdata[2] => data_flag_rx_s1_readdata[2].IN1
data_flag_rx_s1_readdata[3] => data_flag_rx_s1_readdata[3].IN1
data_flag_rx_s1_readdata[4] => data_flag_rx_s1_readdata[4].IN1
data_flag_rx_s1_readdata[5] => data_flag_rx_s1_readdata[5].IN1
data_flag_rx_s1_readdata[6] => data_flag_rx_s1_readdata[6].IN1
data_flag_rx_s1_readdata[7] => data_flag_rx_s1_readdata[7].IN1
data_flag_rx_s1_readdata[8] => data_flag_rx_s1_readdata[8].IN1
data_flag_rx_s1_readdata[9] => data_flag_rx_s1_readdata[9].IN1
data_flag_rx_s1_readdata[10] => data_flag_rx_s1_readdata[10].IN1
data_flag_rx_s1_readdata[11] => data_flag_rx_s1_readdata[11].IN1
data_flag_rx_s1_readdata[12] => data_flag_rx_s1_readdata[12].IN1
data_flag_rx_s1_readdata[13] => data_flag_rx_s1_readdata[13].IN1
data_flag_rx_s1_readdata[14] => data_flag_rx_s1_readdata[14].IN1
data_flag_rx_s1_readdata[15] => data_flag_rx_s1_readdata[15].IN1
data_flag_rx_s1_readdata[16] => data_flag_rx_s1_readdata[16].IN1
data_flag_rx_s1_readdata[17] => data_flag_rx_s1_readdata[17].IN1
data_flag_rx_s1_readdata[18] => data_flag_rx_s1_readdata[18].IN1
data_flag_rx_s1_readdata[19] => data_flag_rx_s1_readdata[19].IN1
data_flag_rx_s1_readdata[20] => data_flag_rx_s1_readdata[20].IN1
data_flag_rx_s1_readdata[21] => data_flag_rx_s1_readdata[21].IN1
data_flag_rx_s1_readdata[22] => data_flag_rx_s1_readdata[22].IN1
data_flag_rx_s1_readdata[23] => data_flag_rx_s1_readdata[23].IN1
data_flag_rx_s1_readdata[24] => data_flag_rx_s1_readdata[24].IN1
data_flag_rx_s1_readdata[25] => data_flag_rx_s1_readdata[25].IN1
data_flag_rx_s1_readdata[26] => data_flag_rx_s1_readdata[26].IN1
data_flag_rx_s1_readdata[27] => data_flag_rx_s1_readdata[27].IN1
data_flag_rx_s1_readdata[28] => data_flag_rx_s1_readdata[28].IN1
data_flag_rx_s1_readdata[29] => data_flag_rx_s1_readdata[29].IN1
data_flag_rx_s1_readdata[30] => data_flag_rx_s1_readdata[30].IN1
data_flag_rx_s1_readdata[31] => data_flag_rx_s1_readdata[31].IN1
data_info_s1_address[0] <= altera_merlin_slave_translator:data_info_s1_translator.av_address
data_info_s1_address[1] <= altera_merlin_slave_translator:data_info_s1_translator.av_address
data_info_s1_readdata[0] => data_info_s1_readdata[0].IN1
data_info_s1_readdata[1] => data_info_s1_readdata[1].IN1
data_info_s1_readdata[2] => data_info_s1_readdata[2].IN1
data_info_s1_readdata[3] => data_info_s1_readdata[3].IN1
data_info_s1_readdata[4] => data_info_s1_readdata[4].IN1
data_info_s1_readdata[5] => data_info_s1_readdata[5].IN1
data_info_s1_readdata[6] => data_info_s1_readdata[6].IN1
data_info_s1_readdata[7] => data_info_s1_readdata[7].IN1
data_info_s1_readdata[8] => data_info_s1_readdata[8].IN1
data_info_s1_readdata[9] => data_info_s1_readdata[9].IN1
data_info_s1_readdata[10] => data_info_s1_readdata[10].IN1
data_info_s1_readdata[11] => data_info_s1_readdata[11].IN1
data_info_s1_readdata[12] => data_info_s1_readdata[12].IN1
data_info_s1_readdata[13] => data_info_s1_readdata[13].IN1
data_info_s1_readdata[14] => data_info_s1_readdata[14].IN1
data_info_s1_readdata[15] => data_info_s1_readdata[15].IN1
data_info_s1_readdata[16] => data_info_s1_readdata[16].IN1
data_info_s1_readdata[17] => data_info_s1_readdata[17].IN1
data_info_s1_readdata[18] => data_info_s1_readdata[18].IN1
data_info_s1_readdata[19] => data_info_s1_readdata[19].IN1
data_info_s1_readdata[20] => data_info_s1_readdata[20].IN1
data_info_s1_readdata[21] => data_info_s1_readdata[21].IN1
data_info_s1_readdata[22] => data_info_s1_readdata[22].IN1
data_info_s1_readdata[23] => data_info_s1_readdata[23].IN1
data_info_s1_readdata[24] => data_info_s1_readdata[24].IN1
data_info_s1_readdata[25] => data_info_s1_readdata[25].IN1
data_info_s1_readdata[26] => data_info_s1_readdata[26].IN1
data_info_s1_readdata[27] => data_info_s1_readdata[27].IN1
data_info_s1_readdata[28] => data_info_s1_readdata[28].IN1
data_info_s1_readdata[29] => data_info_s1_readdata[29].IN1
data_info_s1_readdata[30] => data_info_s1_readdata[30].IN1
data_info_s1_readdata[31] => data_info_s1_readdata[31].IN1
data_read_en_rx_s1_address[0] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_address
data_read_en_rx_s1_address[1] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_address
data_read_en_rx_s1_write <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_write
data_read_en_rx_s1_readdata[0] => data_read_en_rx_s1_readdata[0].IN1
data_read_en_rx_s1_readdata[1] => data_read_en_rx_s1_readdata[1].IN1
data_read_en_rx_s1_readdata[2] => data_read_en_rx_s1_readdata[2].IN1
data_read_en_rx_s1_readdata[3] => data_read_en_rx_s1_readdata[3].IN1
data_read_en_rx_s1_readdata[4] => data_read_en_rx_s1_readdata[4].IN1
data_read_en_rx_s1_readdata[5] => data_read_en_rx_s1_readdata[5].IN1
data_read_en_rx_s1_readdata[6] => data_read_en_rx_s1_readdata[6].IN1
data_read_en_rx_s1_readdata[7] => data_read_en_rx_s1_readdata[7].IN1
data_read_en_rx_s1_readdata[8] => data_read_en_rx_s1_readdata[8].IN1
data_read_en_rx_s1_readdata[9] => data_read_en_rx_s1_readdata[9].IN1
data_read_en_rx_s1_readdata[10] => data_read_en_rx_s1_readdata[10].IN1
data_read_en_rx_s1_readdata[11] => data_read_en_rx_s1_readdata[11].IN1
data_read_en_rx_s1_readdata[12] => data_read_en_rx_s1_readdata[12].IN1
data_read_en_rx_s1_readdata[13] => data_read_en_rx_s1_readdata[13].IN1
data_read_en_rx_s1_readdata[14] => data_read_en_rx_s1_readdata[14].IN1
data_read_en_rx_s1_readdata[15] => data_read_en_rx_s1_readdata[15].IN1
data_read_en_rx_s1_readdata[16] => data_read_en_rx_s1_readdata[16].IN1
data_read_en_rx_s1_readdata[17] => data_read_en_rx_s1_readdata[17].IN1
data_read_en_rx_s1_readdata[18] => data_read_en_rx_s1_readdata[18].IN1
data_read_en_rx_s1_readdata[19] => data_read_en_rx_s1_readdata[19].IN1
data_read_en_rx_s1_readdata[20] => data_read_en_rx_s1_readdata[20].IN1
data_read_en_rx_s1_readdata[21] => data_read_en_rx_s1_readdata[21].IN1
data_read_en_rx_s1_readdata[22] => data_read_en_rx_s1_readdata[22].IN1
data_read_en_rx_s1_readdata[23] => data_read_en_rx_s1_readdata[23].IN1
data_read_en_rx_s1_readdata[24] => data_read_en_rx_s1_readdata[24].IN1
data_read_en_rx_s1_readdata[25] => data_read_en_rx_s1_readdata[25].IN1
data_read_en_rx_s1_readdata[26] => data_read_en_rx_s1_readdata[26].IN1
data_read_en_rx_s1_readdata[27] => data_read_en_rx_s1_readdata[27].IN1
data_read_en_rx_s1_readdata[28] => data_read_en_rx_s1_readdata[28].IN1
data_read_en_rx_s1_readdata[29] => data_read_en_rx_s1_readdata[29].IN1
data_read_en_rx_s1_readdata[30] => data_read_en_rx_s1_readdata[30].IN1
data_read_en_rx_s1_readdata[31] => data_read_en_rx_s1_readdata[31].IN1
data_read_en_rx_s1_writedata[0] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[1] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[2] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[3] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[4] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[5] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[6] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[7] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[8] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[9] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[10] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[11] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[12] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[13] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[14] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[15] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[16] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[17] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[18] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[19] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[20] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[21] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[22] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[23] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[24] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[25] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[26] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[27] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[28] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[29] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[30] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_writedata[31] <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_writedata
data_read_en_rx_s1_chipselect <= altera_merlin_slave_translator:data_read_en_rx_s1_translator.av_chipselect
fifo_empty_rx_status_s1_address[0] <= altera_merlin_slave_translator:fifo_empty_rx_status_s1_translator.av_address
fifo_empty_rx_status_s1_address[1] <= altera_merlin_slave_translator:fifo_empty_rx_status_s1_translator.av_address
fifo_empty_rx_status_s1_readdata[0] => fifo_empty_rx_status_s1_readdata[0].IN1
fifo_empty_rx_status_s1_readdata[1] => fifo_empty_rx_status_s1_readdata[1].IN1
fifo_empty_rx_status_s1_readdata[2] => fifo_empty_rx_status_s1_readdata[2].IN1
fifo_empty_rx_status_s1_readdata[3] => fifo_empty_rx_status_s1_readdata[3].IN1
fifo_empty_rx_status_s1_readdata[4] => fifo_empty_rx_status_s1_readdata[4].IN1
fifo_empty_rx_status_s1_readdata[5] => fifo_empty_rx_status_s1_readdata[5].IN1
fifo_empty_rx_status_s1_readdata[6] => fifo_empty_rx_status_s1_readdata[6].IN1
fifo_empty_rx_status_s1_readdata[7] => fifo_empty_rx_status_s1_readdata[7].IN1
fifo_empty_rx_status_s1_readdata[8] => fifo_empty_rx_status_s1_readdata[8].IN1
fifo_empty_rx_status_s1_readdata[9] => fifo_empty_rx_status_s1_readdata[9].IN1
fifo_empty_rx_status_s1_readdata[10] => fifo_empty_rx_status_s1_readdata[10].IN1
fifo_empty_rx_status_s1_readdata[11] => fifo_empty_rx_status_s1_readdata[11].IN1
fifo_empty_rx_status_s1_readdata[12] => fifo_empty_rx_status_s1_readdata[12].IN1
fifo_empty_rx_status_s1_readdata[13] => fifo_empty_rx_status_s1_readdata[13].IN1
fifo_empty_rx_status_s1_readdata[14] => fifo_empty_rx_status_s1_readdata[14].IN1
fifo_empty_rx_status_s1_readdata[15] => fifo_empty_rx_status_s1_readdata[15].IN1
fifo_empty_rx_status_s1_readdata[16] => fifo_empty_rx_status_s1_readdata[16].IN1
fifo_empty_rx_status_s1_readdata[17] => fifo_empty_rx_status_s1_readdata[17].IN1
fifo_empty_rx_status_s1_readdata[18] => fifo_empty_rx_status_s1_readdata[18].IN1
fifo_empty_rx_status_s1_readdata[19] => fifo_empty_rx_status_s1_readdata[19].IN1
fifo_empty_rx_status_s1_readdata[20] => fifo_empty_rx_status_s1_readdata[20].IN1
fifo_empty_rx_status_s1_readdata[21] => fifo_empty_rx_status_s1_readdata[21].IN1
fifo_empty_rx_status_s1_readdata[22] => fifo_empty_rx_status_s1_readdata[22].IN1
fifo_empty_rx_status_s1_readdata[23] => fifo_empty_rx_status_s1_readdata[23].IN1
fifo_empty_rx_status_s1_readdata[24] => fifo_empty_rx_status_s1_readdata[24].IN1
fifo_empty_rx_status_s1_readdata[25] => fifo_empty_rx_status_s1_readdata[25].IN1
fifo_empty_rx_status_s1_readdata[26] => fifo_empty_rx_status_s1_readdata[26].IN1
fifo_empty_rx_status_s1_readdata[27] => fifo_empty_rx_status_s1_readdata[27].IN1
fifo_empty_rx_status_s1_readdata[28] => fifo_empty_rx_status_s1_readdata[28].IN1
fifo_empty_rx_status_s1_readdata[29] => fifo_empty_rx_status_s1_readdata[29].IN1
fifo_empty_rx_status_s1_readdata[30] => fifo_empty_rx_status_s1_readdata[30].IN1
fifo_empty_rx_status_s1_readdata[31] => fifo_empty_rx_status_s1_readdata[31].IN1
fifo_empty_tx_status_s1_address[0] <= altera_merlin_slave_translator:fifo_empty_tx_status_s1_translator.av_address
fifo_empty_tx_status_s1_address[1] <= altera_merlin_slave_translator:fifo_empty_tx_status_s1_translator.av_address
fifo_empty_tx_status_s1_readdata[0] => fifo_empty_tx_status_s1_readdata[0].IN1
fifo_empty_tx_status_s1_readdata[1] => fifo_empty_tx_status_s1_readdata[1].IN1
fifo_empty_tx_status_s1_readdata[2] => fifo_empty_tx_status_s1_readdata[2].IN1
fifo_empty_tx_status_s1_readdata[3] => fifo_empty_tx_status_s1_readdata[3].IN1
fifo_empty_tx_status_s1_readdata[4] => fifo_empty_tx_status_s1_readdata[4].IN1
fifo_empty_tx_status_s1_readdata[5] => fifo_empty_tx_status_s1_readdata[5].IN1
fifo_empty_tx_status_s1_readdata[6] => fifo_empty_tx_status_s1_readdata[6].IN1
fifo_empty_tx_status_s1_readdata[7] => fifo_empty_tx_status_s1_readdata[7].IN1
fifo_empty_tx_status_s1_readdata[8] => fifo_empty_tx_status_s1_readdata[8].IN1
fifo_empty_tx_status_s1_readdata[9] => fifo_empty_tx_status_s1_readdata[9].IN1
fifo_empty_tx_status_s1_readdata[10] => fifo_empty_tx_status_s1_readdata[10].IN1
fifo_empty_tx_status_s1_readdata[11] => fifo_empty_tx_status_s1_readdata[11].IN1
fifo_empty_tx_status_s1_readdata[12] => fifo_empty_tx_status_s1_readdata[12].IN1
fifo_empty_tx_status_s1_readdata[13] => fifo_empty_tx_status_s1_readdata[13].IN1
fifo_empty_tx_status_s1_readdata[14] => fifo_empty_tx_status_s1_readdata[14].IN1
fifo_empty_tx_status_s1_readdata[15] => fifo_empty_tx_status_s1_readdata[15].IN1
fifo_empty_tx_status_s1_readdata[16] => fifo_empty_tx_status_s1_readdata[16].IN1
fifo_empty_tx_status_s1_readdata[17] => fifo_empty_tx_status_s1_readdata[17].IN1
fifo_empty_tx_status_s1_readdata[18] => fifo_empty_tx_status_s1_readdata[18].IN1
fifo_empty_tx_status_s1_readdata[19] => fifo_empty_tx_status_s1_readdata[19].IN1
fifo_empty_tx_status_s1_readdata[20] => fifo_empty_tx_status_s1_readdata[20].IN1
fifo_empty_tx_status_s1_readdata[21] => fifo_empty_tx_status_s1_readdata[21].IN1
fifo_empty_tx_status_s1_readdata[22] => fifo_empty_tx_status_s1_readdata[22].IN1
fifo_empty_tx_status_s1_readdata[23] => fifo_empty_tx_status_s1_readdata[23].IN1
fifo_empty_tx_status_s1_readdata[24] => fifo_empty_tx_status_s1_readdata[24].IN1
fifo_empty_tx_status_s1_readdata[25] => fifo_empty_tx_status_s1_readdata[25].IN1
fifo_empty_tx_status_s1_readdata[26] => fifo_empty_tx_status_s1_readdata[26].IN1
fifo_empty_tx_status_s1_readdata[27] => fifo_empty_tx_status_s1_readdata[27].IN1
fifo_empty_tx_status_s1_readdata[28] => fifo_empty_tx_status_s1_readdata[28].IN1
fifo_empty_tx_status_s1_readdata[29] => fifo_empty_tx_status_s1_readdata[29].IN1
fifo_empty_tx_status_s1_readdata[30] => fifo_empty_tx_status_s1_readdata[30].IN1
fifo_empty_tx_status_s1_readdata[31] => fifo_empty_tx_status_s1_readdata[31].IN1
fifo_full_rx_status_s1_address[0] <= altera_merlin_slave_translator:fifo_full_rx_status_s1_translator.av_address
fifo_full_rx_status_s1_address[1] <= altera_merlin_slave_translator:fifo_full_rx_status_s1_translator.av_address
fifo_full_rx_status_s1_readdata[0] => fifo_full_rx_status_s1_readdata[0].IN1
fifo_full_rx_status_s1_readdata[1] => fifo_full_rx_status_s1_readdata[1].IN1
fifo_full_rx_status_s1_readdata[2] => fifo_full_rx_status_s1_readdata[2].IN1
fifo_full_rx_status_s1_readdata[3] => fifo_full_rx_status_s1_readdata[3].IN1
fifo_full_rx_status_s1_readdata[4] => fifo_full_rx_status_s1_readdata[4].IN1
fifo_full_rx_status_s1_readdata[5] => fifo_full_rx_status_s1_readdata[5].IN1
fifo_full_rx_status_s1_readdata[6] => fifo_full_rx_status_s1_readdata[6].IN1
fifo_full_rx_status_s1_readdata[7] => fifo_full_rx_status_s1_readdata[7].IN1
fifo_full_rx_status_s1_readdata[8] => fifo_full_rx_status_s1_readdata[8].IN1
fifo_full_rx_status_s1_readdata[9] => fifo_full_rx_status_s1_readdata[9].IN1
fifo_full_rx_status_s1_readdata[10] => fifo_full_rx_status_s1_readdata[10].IN1
fifo_full_rx_status_s1_readdata[11] => fifo_full_rx_status_s1_readdata[11].IN1
fifo_full_rx_status_s1_readdata[12] => fifo_full_rx_status_s1_readdata[12].IN1
fifo_full_rx_status_s1_readdata[13] => fifo_full_rx_status_s1_readdata[13].IN1
fifo_full_rx_status_s1_readdata[14] => fifo_full_rx_status_s1_readdata[14].IN1
fifo_full_rx_status_s1_readdata[15] => fifo_full_rx_status_s1_readdata[15].IN1
fifo_full_rx_status_s1_readdata[16] => fifo_full_rx_status_s1_readdata[16].IN1
fifo_full_rx_status_s1_readdata[17] => fifo_full_rx_status_s1_readdata[17].IN1
fifo_full_rx_status_s1_readdata[18] => fifo_full_rx_status_s1_readdata[18].IN1
fifo_full_rx_status_s1_readdata[19] => fifo_full_rx_status_s1_readdata[19].IN1
fifo_full_rx_status_s1_readdata[20] => fifo_full_rx_status_s1_readdata[20].IN1
fifo_full_rx_status_s1_readdata[21] => fifo_full_rx_status_s1_readdata[21].IN1
fifo_full_rx_status_s1_readdata[22] => fifo_full_rx_status_s1_readdata[22].IN1
fifo_full_rx_status_s1_readdata[23] => fifo_full_rx_status_s1_readdata[23].IN1
fifo_full_rx_status_s1_readdata[24] => fifo_full_rx_status_s1_readdata[24].IN1
fifo_full_rx_status_s1_readdata[25] => fifo_full_rx_status_s1_readdata[25].IN1
fifo_full_rx_status_s1_readdata[26] => fifo_full_rx_status_s1_readdata[26].IN1
fifo_full_rx_status_s1_readdata[27] => fifo_full_rx_status_s1_readdata[27].IN1
fifo_full_rx_status_s1_readdata[28] => fifo_full_rx_status_s1_readdata[28].IN1
fifo_full_rx_status_s1_readdata[29] => fifo_full_rx_status_s1_readdata[29].IN1
fifo_full_rx_status_s1_readdata[30] => fifo_full_rx_status_s1_readdata[30].IN1
fifo_full_rx_status_s1_readdata[31] => fifo_full_rx_status_s1_readdata[31].IN1
fifo_full_tx_status_s1_address[0] <= altera_merlin_slave_translator:fifo_full_tx_status_s1_translator.av_address
fifo_full_tx_status_s1_address[1] <= altera_merlin_slave_translator:fifo_full_tx_status_s1_translator.av_address
fifo_full_tx_status_s1_readdata[0] => fifo_full_tx_status_s1_readdata[0].IN1
fifo_full_tx_status_s1_readdata[1] => fifo_full_tx_status_s1_readdata[1].IN1
fifo_full_tx_status_s1_readdata[2] => fifo_full_tx_status_s1_readdata[2].IN1
fifo_full_tx_status_s1_readdata[3] => fifo_full_tx_status_s1_readdata[3].IN1
fifo_full_tx_status_s1_readdata[4] => fifo_full_tx_status_s1_readdata[4].IN1
fifo_full_tx_status_s1_readdata[5] => fifo_full_tx_status_s1_readdata[5].IN1
fifo_full_tx_status_s1_readdata[6] => fifo_full_tx_status_s1_readdata[6].IN1
fifo_full_tx_status_s1_readdata[7] => fifo_full_tx_status_s1_readdata[7].IN1
fifo_full_tx_status_s1_readdata[8] => fifo_full_tx_status_s1_readdata[8].IN1
fifo_full_tx_status_s1_readdata[9] => fifo_full_tx_status_s1_readdata[9].IN1
fifo_full_tx_status_s1_readdata[10] => fifo_full_tx_status_s1_readdata[10].IN1
fifo_full_tx_status_s1_readdata[11] => fifo_full_tx_status_s1_readdata[11].IN1
fifo_full_tx_status_s1_readdata[12] => fifo_full_tx_status_s1_readdata[12].IN1
fifo_full_tx_status_s1_readdata[13] => fifo_full_tx_status_s1_readdata[13].IN1
fifo_full_tx_status_s1_readdata[14] => fifo_full_tx_status_s1_readdata[14].IN1
fifo_full_tx_status_s1_readdata[15] => fifo_full_tx_status_s1_readdata[15].IN1
fifo_full_tx_status_s1_readdata[16] => fifo_full_tx_status_s1_readdata[16].IN1
fifo_full_tx_status_s1_readdata[17] => fifo_full_tx_status_s1_readdata[17].IN1
fifo_full_tx_status_s1_readdata[18] => fifo_full_tx_status_s1_readdata[18].IN1
fifo_full_tx_status_s1_readdata[19] => fifo_full_tx_status_s1_readdata[19].IN1
fifo_full_tx_status_s1_readdata[20] => fifo_full_tx_status_s1_readdata[20].IN1
fifo_full_tx_status_s1_readdata[21] => fifo_full_tx_status_s1_readdata[21].IN1
fifo_full_tx_status_s1_readdata[22] => fifo_full_tx_status_s1_readdata[22].IN1
fifo_full_tx_status_s1_readdata[23] => fifo_full_tx_status_s1_readdata[23].IN1
fifo_full_tx_status_s1_readdata[24] => fifo_full_tx_status_s1_readdata[24].IN1
fifo_full_tx_status_s1_readdata[25] => fifo_full_tx_status_s1_readdata[25].IN1
fifo_full_tx_status_s1_readdata[26] => fifo_full_tx_status_s1_readdata[26].IN1
fifo_full_tx_status_s1_readdata[27] => fifo_full_tx_status_s1_readdata[27].IN1
fifo_full_tx_status_s1_readdata[28] => fifo_full_tx_status_s1_readdata[28].IN1
fifo_full_tx_status_s1_readdata[29] => fifo_full_tx_status_s1_readdata[29].IN1
fifo_full_tx_status_s1_readdata[30] => fifo_full_tx_status_s1_readdata[30].IN1
fifo_full_tx_status_s1_readdata[31] => fifo_full_tx_status_s1_readdata[31].IN1
fsm_info_s1_address[0] <= altera_merlin_slave_translator:fsm_info_s1_translator.av_address
fsm_info_s1_address[1] <= altera_merlin_slave_translator:fsm_info_s1_translator.av_address
fsm_info_s1_readdata[0] => fsm_info_s1_readdata[0].IN1
fsm_info_s1_readdata[1] => fsm_info_s1_readdata[1].IN1
fsm_info_s1_readdata[2] => fsm_info_s1_readdata[2].IN1
fsm_info_s1_readdata[3] => fsm_info_s1_readdata[3].IN1
fsm_info_s1_readdata[4] => fsm_info_s1_readdata[4].IN1
fsm_info_s1_readdata[5] => fsm_info_s1_readdata[5].IN1
fsm_info_s1_readdata[6] => fsm_info_s1_readdata[6].IN1
fsm_info_s1_readdata[7] => fsm_info_s1_readdata[7].IN1
fsm_info_s1_readdata[8] => fsm_info_s1_readdata[8].IN1
fsm_info_s1_readdata[9] => fsm_info_s1_readdata[9].IN1
fsm_info_s1_readdata[10] => fsm_info_s1_readdata[10].IN1
fsm_info_s1_readdata[11] => fsm_info_s1_readdata[11].IN1
fsm_info_s1_readdata[12] => fsm_info_s1_readdata[12].IN1
fsm_info_s1_readdata[13] => fsm_info_s1_readdata[13].IN1
fsm_info_s1_readdata[14] => fsm_info_s1_readdata[14].IN1
fsm_info_s1_readdata[15] => fsm_info_s1_readdata[15].IN1
fsm_info_s1_readdata[16] => fsm_info_s1_readdata[16].IN1
fsm_info_s1_readdata[17] => fsm_info_s1_readdata[17].IN1
fsm_info_s1_readdata[18] => fsm_info_s1_readdata[18].IN1
fsm_info_s1_readdata[19] => fsm_info_s1_readdata[19].IN1
fsm_info_s1_readdata[20] => fsm_info_s1_readdata[20].IN1
fsm_info_s1_readdata[21] => fsm_info_s1_readdata[21].IN1
fsm_info_s1_readdata[22] => fsm_info_s1_readdata[22].IN1
fsm_info_s1_readdata[23] => fsm_info_s1_readdata[23].IN1
fsm_info_s1_readdata[24] => fsm_info_s1_readdata[24].IN1
fsm_info_s1_readdata[25] => fsm_info_s1_readdata[25].IN1
fsm_info_s1_readdata[26] => fsm_info_s1_readdata[26].IN1
fsm_info_s1_readdata[27] => fsm_info_s1_readdata[27].IN1
fsm_info_s1_readdata[28] => fsm_info_s1_readdata[28].IN1
fsm_info_s1_readdata[29] => fsm_info_s1_readdata[29].IN1
fsm_info_s1_readdata[30] => fsm_info_s1_readdata[30].IN1
fsm_info_s1_readdata[31] => fsm_info_s1_readdata[31].IN1
led_pio_test_s1_address[0] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_address
led_pio_test_s1_address[1] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_address
led_pio_test_s1_write <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_write
led_pio_test_s1_readdata[0] => led_pio_test_s1_readdata[0].IN1
led_pio_test_s1_readdata[1] => led_pio_test_s1_readdata[1].IN1
led_pio_test_s1_readdata[2] => led_pio_test_s1_readdata[2].IN1
led_pio_test_s1_readdata[3] => led_pio_test_s1_readdata[3].IN1
led_pio_test_s1_readdata[4] => led_pio_test_s1_readdata[4].IN1
led_pio_test_s1_readdata[5] => led_pio_test_s1_readdata[5].IN1
led_pio_test_s1_readdata[6] => led_pio_test_s1_readdata[6].IN1
led_pio_test_s1_readdata[7] => led_pio_test_s1_readdata[7].IN1
led_pio_test_s1_readdata[8] => led_pio_test_s1_readdata[8].IN1
led_pio_test_s1_readdata[9] => led_pio_test_s1_readdata[9].IN1
led_pio_test_s1_readdata[10] => led_pio_test_s1_readdata[10].IN1
led_pio_test_s1_readdata[11] => led_pio_test_s1_readdata[11].IN1
led_pio_test_s1_readdata[12] => led_pio_test_s1_readdata[12].IN1
led_pio_test_s1_readdata[13] => led_pio_test_s1_readdata[13].IN1
led_pio_test_s1_readdata[14] => led_pio_test_s1_readdata[14].IN1
led_pio_test_s1_readdata[15] => led_pio_test_s1_readdata[15].IN1
led_pio_test_s1_readdata[16] => led_pio_test_s1_readdata[16].IN1
led_pio_test_s1_readdata[17] => led_pio_test_s1_readdata[17].IN1
led_pio_test_s1_readdata[18] => led_pio_test_s1_readdata[18].IN1
led_pio_test_s1_readdata[19] => led_pio_test_s1_readdata[19].IN1
led_pio_test_s1_readdata[20] => led_pio_test_s1_readdata[20].IN1
led_pio_test_s1_readdata[21] => led_pio_test_s1_readdata[21].IN1
led_pio_test_s1_readdata[22] => led_pio_test_s1_readdata[22].IN1
led_pio_test_s1_readdata[23] => led_pio_test_s1_readdata[23].IN1
led_pio_test_s1_readdata[24] => led_pio_test_s1_readdata[24].IN1
led_pio_test_s1_readdata[25] => led_pio_test_s1_readdata[25].IN1
led_pio_test_s1_readdata[26] => led_pio_test_s1_readdata[26].IN1
led_pio_test_s1_readdata[27] => led_pio_test_s1_readdata[27].IN1
led_pio_test_s1_readdata[28] => led_pio_test_s1_readdata[28].IN1
led_pio_test_s1_readdata[29] => led_pio_test_s1_readdata[29].IN1
led_pio_test_s1_readdata[30] => led_pio_test_s1_readdata[30].IN1
led_pio_test_s1_readdata[31] => led_pio_test_s1_readdata[31].IN1
led_pio_test_s1_writedata[0] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[1] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[2] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[3] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[4] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[5] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[6] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[7] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[8] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[9] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[10] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[11] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[12] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[13] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[14] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[15] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[16] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[17] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[18] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[19] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[20] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[21] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[22] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[23] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[24] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[25] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[26] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[27] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[28] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[29] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[30] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_writedata[31] <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_writedata
led_pio_test_s1_chipselect <= altera_merlin_slave_translator:led_pio_test_s1_translator.av_chipselect
link_disable_s1_address[0] <= altera_merlin_slave_translator:link_disable_s1_translator.av_address
link_disable_s1_address[1] <= altera_merlin_slave_translator:link_disable_s1_translator.av_address
link_disable_s1_write <= altera_merlin_slave_translator:link_disable_s1_translator.av_write
link_disable_s1_readdata[0] => link_disable_s1_readdata[0].IN1
link_disable_s1_readdata[1] => link_disable_s1_readdata[1].IN1
link_disable_s1_readdata[2] => link_disable_s1_readdata[2].IN1
link_disable_s1_readdata[3] => link_disable_s1_readdata[3].IN1
link_disable_s1_readdata[4] => link_disable_s1_readdata[4].IN1
link_disable_s1_readdata[5] => link_disable_s1_readdata[5].IN1
link_disable_s1_readdata[6] => link_disable_s1_readdata[6].IN1
link_disable_s1_readdata[7] => link_disable_s1_readdata[7].IN1
link_disable_s1_readdata[8] => link_disable_s1_readdata[8].IN1
link_disable_s1_readdata[9] => link_disable_s1_readdata[9].IN1
link_disable_s1_readdata[10] => link_disable_s1_readdata[10].IN1
link_disable_s1_readdata[11] => link_disable_s1_readdata[11].IN1
link_disable_s1_readdata[12] => link_disable_s1_readdata[12].IN1
link_disable_s1_readdata[13] => link_disable_s1_readdata[13].IN1
link_disable_s1_readdata[14] => link_disable_s1_readdata[14].IN1
link_disable_s1_readdata[15] => link_disable_s1_readdata[15].IN1
link_disable_s1_readdata[16] => link_disable_s1_readdata[16].IN1
link_disable_s1_readdata[17] => link_disable_s1_readdata[17].IN1
link_disable_s1_readdata[18] => link_disable_s1_readdata[18].IN1
link_disable_s1_readdata[19] => link_disable_s1_readdata[19].IN1
link_disable_s1_readdata[20] => link_disable_s1_readdata[20].IN1
link_disable_s1_readdata[21] => link_disable_s1_readdata[21].IN1
link_disable_s1_readdata[22] => link_disable_s1_readdata[22].IN1
link_disable_s1_readdata[23] => link_disable_s1_readdata[23].IN1
link_disable_s1_readdata[24] => link_disable_s1_readdata[24].IN1
link_disable_s1_readdata[25] => link_disable_s1_readdata[25].IN1
link_disable_s1_readdata[26] => link_disable_s1_readdata[26].IN1
link_disable_s1_readdata[27] => link_disable_s1_readdata[27].IN1
link_disable_s1_readdata[28] => link_disable_s1_readdata[28].IN1
link_disable_s1_readdata[29] => link_disable_s1_readdata[29].IN1
link_disable_s1_readdata[30] => link_disable_s1_readdata[30].IN1
link_disable_s1_readdata[31] => link_disable_s1_readdata[31].IN1
link_disable_s1_writedata[0] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[1] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[2] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[3] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[4] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[5] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[6] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[7] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[8] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[9] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[10] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[11] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[12] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[13] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[14] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[15] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[16] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[17] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[18] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[19] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[20] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[21] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[22] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[23] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[24] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[25] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[26] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[27] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[28] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[29] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[30] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_writedata[31] <= altera_merlin_slave_translator:link_disable_s1_translator.av_writedata
link_disable_s1_chipselect <= altera_merlin_slave_translator:link_disable_s1_translator.av_chipselect
link_start_s1_address[0] <= altera_merlin_slave_translator:link_start_s1_translator.av_address
link_start_s1_address[1] <= altera_merlin_slave_translator:link_start_s1_translator.av_address
link_start_s1_write <= altera_merlin_slave_translator:link_start_s1_translator.av_write
link_start_s1_readdata[0] => link_start_s1_readdata[0].IN1
link_start_s1_readdata[1] => link_start_s1_readdata[1].IN1
link_start_s1_readdata[2] => link_start_s1_readdata[2].IN1
link_start_s1_readdata[3] => link_start_s1_readdata[3].IN1
link_start_s1_readdata[4] => link_start_s1_readdata[4].IN1
link_start_s1_readdata[5] => link_start_s1_readdata[5].IN1
link_start_s1_readdata[6] => link_start_s1_readdata[6].IN1
link_start_s1_readdata[7] => link_start_s1_readdata[7].IN1
link_start_s1_readdata[8] => link_start_s1_readdata[8].IN1
link_start_s1_readdata[9] => link_start_s1_readdata[9].IN1
link_start_s1_readdata[10] => link_start_s1_readdata[10].IN1
link_start_s1_readdata[11] => link_start_s1_readdata[11].IN1
link_start_s1_readdata[12] => link_start_s1_readdata[12].IN1
link_start_s1_readdata[13] => link_start_s1_readdata[13].IN1
link_start_s1_readdata[14] => link_start_s1_readdata[14].IN1
link_start_s1_readdata[15] => link_start_s1_readdata[15].IN1
link_start_s1_readdata[16] => link_start_s1_readdata[16].IN1
link_start_s1_readdata[17] => link_start_s1_readdata[17].IN1
link_start_s1_readdata[18] => link_start_s1_readdata[18].IN1
link_start_s1_readdata[19] => link_start_s1_readdata[19].IN1
link_start_s1_readdata[20] => link_start_s1_readdata[20].IN1
link_start_s1_readdata[21] => link_start_s1_readdata[21].IN1
link_start_s1_readdata[22] => link_start_s1_readdata[22].IN1
link_start_s1_readdata[23] => link_start_s1_readdata[23].IN1
link_start_s1_readdata[24] => link_start_s1_readdata[24].IN1
link_start_s1_readdata[25] => link_start_s1_readdata[25].IN1
link_start_s1_readdata[26] => link_start_s1_readdata[26].IN1
link_start_s1_readdata[27] => link_start_s1_readdata[27].IN1
link_start_s1_readdata[28] => link_start_s1_readdata[28].IN1
link_start_s1_readdata[29] => link_start_s1_readdata[29].IN1
link_start_s1_readdata[30] => link_start_s1_readdata[30].IN1
link_start_s1_readdata[31] => link_start_s1_readdata[31].IN1
link_start_s1_writedata[0] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[1] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[2] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[3] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[4] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[5] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[6] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[7] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[8] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[9] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[10] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[11] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[12] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[13] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[14] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[15] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[16] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[17] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[18] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[19] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[20] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[21] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[22] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[23] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[24] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[25] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[26] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[27] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[28] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[29] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[30] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_writedata[31] <= altera_merlin_slave_translator:link_start_s1_translator.av_writedata
link_start_s1_chipselect <= altera_merlin_slave_translator:link_start_s1_translator.av_chipselect
timecode_ready_rx_s1_address[0] <= altera_merlin_slave_translator:timecode_ready_rx_s1_translator.av_address
timecode_ready_rx_s1_address[1] <= altera_merlin_slave_translator:timecode_ready_rx_s1_translator.av_address
timecode_ready_rx_s1_readdata[0] => timecode_ready_rx_s1_readdata[0].IN1
timecode_ready_rx_s1_readdata[1] => timecode_ready_rx_s1_readdata[1].IN1
timecode_ready_rx_s1_readdata[2] => timecode_ready_rx_s1_readdata[2].IN1
timecode_ready_rx_s1_readdata[3] => timecode_ready_rx_s1_readdata[3].IN1
timecode_ready_rx_s1_readdata[4] => timecode_ready_rx_s1_readdata[4].IN1
timecode_ready_rx_s1_readdata[5] => timecode_ready_rx_s1_readdata[5].IN1
timecode_ready_rx_s1_readdata[6] => timecode_ready_rx_s1_readdata[6].IN1
timecode_ready_rx_s1_readdata[7] => timecode_ready_rx_s1_readdata[7].IN1
timecode_ready_rx_s1_readdata[8] => timecode_ready_rx_s1_readdata[8].IN1
timecode_ready_rx_s1_readdata[9] => timecode_ready_rx_s1_readdata[9].IN1
timecode_ready_rx_s1_readdata[10] => timecode_ready_rx_s1_readdata[10].IN1
timecode_ready_rx_s1_readdata[11] => timecode_ready_rx_s1_readdata[11].IN1
timecode_ready_rx_s1_readdata[12] => timecode_ready_rx_s1_readdata[12].IN1
timecode_ready_rx_s1_readdata[13] => timecode_ready_rx_s1_readdata[13].IN1
timecode_ready_rx_s1_readdata[14] => timecode_ready_rx_s1_readdata[14].IN1
timecode_ready_rx_s1_readdata[15] => timecode_ready_rx_s1_readdata[15].IN1
timecode_ready_rx_s1_readdata[16] => timecode_ready_rx_s1_readdata[16].IN1
timecode_ready_rx_s1_readdata[17] => timecode_ready_rx_s1_readdata[17].IN1
timecode_ready_rx_s1_readdata[18] => timecode_ready_rx_s1_readdata[18].IN1
timecode_ready_rx_s1_readdata[19] => timecode_ready_rx_s1_readdata[19].IN1
timecode_ready_rx_s1_readdata[20] => timecode_ready_rx_s1_readdata[20].IN1
timecode_ready_rx_s1_readdata[21] => timecode_ready_rx_s1_readdata[21].IN1
timecode_ready_rx_s1_readdata[22] => timecode_ready_rx_s1_readdata[22].IN1
timecode_ready_rx_s1_readdata[23] => timecode_ready_rx_s1_readdata[23].IN1
timecode_ready_rx_s1_readdata[24] => timecode_ready_rx_s1_readdata[24].IN1
timecode_ready_rx_s1_readdata[25] => timecode_ready_rx_s1_readdata[25].IN1
timecode_ready_rx_s1_readdata[26] => timecode_ready_rx_s1_readdata[26].IN1
timecode_ready_rx_s1_readdata[27] => timecode_ready_rx_s1_readdata[27].IN1
timecode_ready_rx_s1_readdata[28] => timecode_ready_rx_s1_readdata[28].IN1
timecode_ready_rx_s1_readdata[29] => timecode_ready_rx_s1_readdata[29].IN1
timecode_ready_rx_s1_readdata[30] => timecode_ready_rx_s1_readdata[30].IN1
timecode_ready_rx_s1_readdata[31] => timecode_ready_rx_s1_readdata[31].IN1
timecode_rx_s1_address[0] <= altera_merlin_slave_translator:timecode_rx_s1_translator.av_address
timecode_rx_s1_address[1] <= altera_merlin_slave_translator:timecode_rx_s1_translator.av_address
timecode_rx_s1_readdata[0] => timecode_rx_s1_readdata[0].IN1
timecode_rx_s1_readdata[1] => timecode_rx_s1_readdata[1].IN1
timecode_rx_s1_readdata[2] => timecode_rx_s1_readdata[2].IN1
timecode_rx_s1_readdata[3] => timecode_rx_s1_readdata[3].IN1
timecode_rx_s1_readdata[4] => timecode_rx_s1_readdata[4].IN1
timecode_rx_s1_readdata[5] => timecode_rx_s1_readdata[5].IN1
timecode_rx_s1_readdata[6] => timecode_rx_s1_readdata[6].IN1
timecode_rx_s1_readdata[7] => timecode_rx_s1_readdata[7].IN1
timecode_rx_s1_readdata[8] => timecode_rx_s1_readdata[8].IN1
timecode_rx_s1_readdata[9] => timecode_rx_s1_readdata[9].IN1
timecode_rx_s1_readdata[10] => timecode_rx_s1_readdata[10].IN1
timecode_rx_s1_readdata[11] => timecode_rx_s1_readdata[11].IN1
timecode_rx_s1_readdata[12] => timecode_rx_s1_readdata[12].IN1
timecode_rx_s1_readdata[13] => timecode_rx_s1_readdata[13].IN1
timecode_rx_s1_readdata[14] => timecode_rx_s1_readdata[14].IN1
timecode_rx_s1_readdata[15] => timecode_rx_s1_readdata[15].IN1
timecode_rx_s1_readdata[16] => timecode_rx_s1_readdata[16].IN1
timecode_rx_s1_readdata[17] => timecode_rx_s1_readdata[17].IN1
timecode_rx_s1_readdata[18] => timecode_rx_s1_readdata[18].IN1
timecode_rx_s1_readdata[19] => timecode_rx_s1_readdata[19].IN1
timecode_rx_s1_readdata[20] => timecode_rx_s1_readdata[20].IN1
timecode_rx_s1_readdata[21] => timecode_rx_s1_readdata[21].IN1
timecode_rx_s1_readdata[22] => timecode_rx_s1_readdata[22].IN1
timecode_rx_s1_readdata[23] => timecode_rx_s1_readdata[23].IN1
timecode_rx_s1_readdata[24] => timecode_rx_s1_readdata[24].IN1
timecode_rx_s1_readdata[25] => timecode_rx_s1_readdata[25].IN1
timecode_rx_s1_readdata[26] => timecode_rx_s1_readdata[26].IN1
timecode_rx_s1_readdata[27] => timecode_rx_s1_readdata[27].IN1
timecode_rx_s1_readdata[28] => timecode_rx_s1_readdata[28].IN1
timecode_rx_s1_readdata[29] => timecode_rx_s1_readdata[29].IN1
timecode_rx_s1_readdata[30] => timecode_rx_s1_readdata[30].IN1
timecode_rx_s1_readdata[31] => timecode_rx_s1_readdata[31].IN1
timecode_tx_data_s1_address[0] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_address
timecode_tx_data_s1_address[1] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_address
timecode_tx_data_s1_write <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_write
timecode_tx_data_s1_readdata[0] => timecode_tx_data_s1_readdata[0].IN1
timecode_tx_data_s1_readdata[1] => timecode_tx_data_s1_readdata[1].IN1
timecode_tx_data_s1_readdata[2] => timecode_tx_data_s1_readdata[2].IN1
timecode_tx_data_s1_readdata[3] => timecode_tx_data_s1_readdata[3].IN1
timecode_tx_data_s1_readdata[4] => timecode_tx_data_s1_readdata[4].IN1
timecode_tx_data_s1_readdata[5] => timecode_tx_data_s1_readdata[5].IN1
timecode_tx_data_s1_readdata[6] => timecode_tx_data_s1_readdata[6].IN1
timecode_tx_data_s1_readdata[7] => timecode_tx_data_s1_readdata[7].IN1
timecode_tx_data_s1_readdata[8] => timecode_tx_data_s1_readdata[8].IN1
timecode_tx_data_s1_readdata[9] => timecode_tx_data_s1_readdata[9].IN1
timecode_tx_data_s1_readdata[10] => timecode_tx_data_s1_readdata[10].IN1
timecode_tx_data_s1_readdata[11] => timecode_tx_data_s1_readdata[11].IN1
timecode_tx_data_s1_readdata[12] => timecode_tx_data_s1_readdata[12].IN1
timecode_tx_data_s1_readdata[13] => timecode_tx_data_s1_readdata[13].IN1
timecode_tx_data_s1_readdata[14] => timecode_tx_data_s1_readdata[14].IN1
timecode_tx_data_s1_readdata[15] => timecode_tx_data_s1_readdata[15].IN1
timecode_tx_data_s1_readdata[16] => timecode_tx_data_s1_readdata[16].IN1
timecode_tx_data_s1_readdata[17] => timecode_tx_data_s1_readdata[17].IN1
timecode_tx_data_s1_readdata[18] => timecode_tx_data_s1_readdata[18].IN1
timecode_tx_data_s1_readdata[19] => timecode_tx_data_s1_readdata[19].IN1
timecode_tx_data_s1_readdata[20] => timecode_tx_data_s1_readdata[20].IN1
timecode_tx_data_s1_readdata[21] => timecode_tx_data_s1_readdata[21].IN1
timecode_tx_data_s1_readdata[22] => timecode_tx_data_s1_readdata[22].IN1
timecode_tx_data_s1_readdata[23] => timecode_tx_data_s1_readdata[23].IN1
timecode_tx_data_s1_readdata[24] => timecode_tx_data_s1_readdata[24].IN1
timecode_tx_data_s1_readdata[25] => timecode_tx_data_s1_readdata[25].IN1
timecode_tx_data_s1_readdata[26] => timecode_tx_data_s1_readdata[26].IN1
timecode_tx_data_s1_readdata[27] => timecode_tx_data_s1_readdata[27].IN1
timecode_tx_data_s1_readdata[28] => timecode_tx_data_s1_readdata[28].IN1
timecode_tx_data_s1_readdata[29] => timecode_tx_data_s1_readdata[29].IN1
timecode_tx_data_s1_readdata[30] => timecode_tx_data_s1_readdata[30].IN1
timecode_tx_data_s1_readdata[31] => timecode_tx_data_s1_readdata[31].IN1
timecode_tx_data_s1_writedata[0] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[1] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[2] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[3] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[4] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[5] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[6] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[7] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[8] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[9] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[10] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[11] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[12] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[13] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[14] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[15] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[16] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[17] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[18] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[19] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[20] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[21] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[22] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[23] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[24] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[25] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[26] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[27] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[28] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[29] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[30] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_writedata[31] <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_writedata
timecode_tx_data_s1_chipselect <= altera_merlin_slave_translator:timecode_tx_data_s1_translator.av_chipselect
timecode_tx_enable_s1_address[0] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_address
timecode_tx_enable_s1_address[1] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_address
timecode_tx_enable_s1_write <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_write
timecode_tx_enable_s1_readdata[0] => timecode_tx_enable_s1_readdata[0].IN1
timecode_tx_enable_s1_readdata[1] => timecode_tx_enable_s1_readdata[1].IN1
timecode_tx_enable_s1_readdata[2] => timecode_tx_enable_s1_readdata[2].IN1
timecode_tx_enable_s1_readdata[3] => timecode_tx_enable_s1_readdata[3].IN1
timecode_tx_enable_s1_readdata[4] => timecode_tx_enable_s1_readdata[4].IN1
timecode_tx_enable_s1_readdata[5] => timecode_tx_enable_s1_readdata[5].IN1
timecode_tx_enable_s1_readdata[6] => timecode_tx_enable_s1_readdata[6].IN1
timecode_tx_enable_s1_readdata[7] => timecode_tx_enable_s1_readdata[7].IN1
timecode_tx_enable_s1_readdata[8] => timecode_tx_enable_s1_readdata[8].IN1
timecode_tx_enable_s1_readdata[9] => timecode_tx_enable_s1_readdata[9].IN1
timecode_tx_enable_s1_readdata[10] => timecode_tx_enable_s1_readdata[10].IN1
timecode_tx_enable_s1_readdata[11] => timecode_tx_enable_s1_readdata[11].IN1
timecode_tx_enable_s1_readdata[12] => timecode_tx_enable_s1_readdata[12].IN1
timecode_tx_enable_s1_readdata[13] => timecode_tx_enable_s1_readdata[13].IN1
timecode_tx_enable_s1_readdata[14] => timecode_tx_enable_s1_readdata[14].IN1
timecode_tx_enable_s1_readdata[15] => timecode_tx_enable_s1_readdata[15].IN1
timecode_tx_enable_s1_readdata[16] => timecode_tx_enable_s1_readdata[16].IN1
timecode_tx_enable_s1_readdata[17] => timecode_tx_enable_s1_readdata[17].IN1
timecode_tx_enable_s1_readdata[18] => timecode_tx_enable_s1_readdata[18].IN1
timecode_tx_enable_s1_readdata[19] => timecode_tx_enable_s1_readdata[19].IN1
timecode_tx_enable_s1_readdata[20] => timecode_tx_enable_s1_readdata[20].IN1
timecode_tx_enable_s1_readdata[21] => timecode_tx_enable_s1_readdata[21].IN1
timecode_tx_enable_s1_readdata[22] => timecode_tx_enable_s1_readdata[22].IN1
timecode_tx_enable_s1_readdata[23] => timecode_tx_enable_s1_readdata[23].IN1
timecode_tx_enable_s1_readdata[24] => timecode_tx_enable_s1_readdata[24].IN1
timecode_tx_enable_s1_readdata[25] => timecode_tx_enable_s1_readdata[25].IN1
timecode_tx_enable_s1_readdata[26] => timecode_tx_enable_s1_readdata[26].IN1
timecode_tx_enable_s1_readdata[27] => timecode_tx_enable_s1_readdata[27].IN1
timecode_tx_enable_s1_readdata[28] => timecode_tx_enable_s1_readdata[28].IN1
timecode_tx_enable_s1_readdata[29] => timecode_tx_enable_s1_readdata[29].IN1
timecode_tx_enable_s1_readdata[30] => timecode_tx_enable_s1_readdata[30].IN1
timecode_tx_enable_s1_readdata[31] => timecode_tx_enable_s1_readdata[31].IN1
timecode_tx_enable_s1_writedata[0] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[1] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[2] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[3] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[4] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[5] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[6] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[7] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[8] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[9] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[10] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[11] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[12] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[13] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[14] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[15] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[16] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[17] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[18] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[19] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[20] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[21] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[22] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[23] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[24] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[25] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[26] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[27] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[28] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[29] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[30] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_writedata[31] <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_writedata
timecode_tx_enable_s1_chipselect <= altera_merlin_slave_translator:timecode_tx_enable_s1_translator.av_chipselect
timecode_tx_ready_s1_address[0] <= altera_merlin_slave_translator:timecode_tx_ready_s1_translator.av_address
timecode_tx_ready_s1_address[1] <= altera_merlin_slave_translator:timecode_tx_ready_s1_translator.av_address
timecode_tx_ready_s1_readdata[0] => timecode_tx_ready_s1_readdata[0].IN1
timecode_tx_ready_s1_readdata[1] => timecode_tx_ready_s1_readdata[1].IN1
timecode_tx_ready_s1_readdata[2] => timecode_tx_ready_s1_readdata[2].IN1
timecode_tx_ready_s1_readdata[3] => timecode_tx_ready_s1_readdata[3].IN1
timecode_tx_ready_s1_readdata[4] => timecode_tx_ready_s1_readdata[4].IN1
timecode_tx_ready_s1_readdata[5] => timecode_tx_ready_s1_readdata[5].IN1
timecode_tx_ready_s1_readdata[6] => timecode_tx_ready_s1_readdata[6].IN1
timecode_tx_ready_s1_readdata[7] => timecode_tx_ready_s1_readdata[7].IN1
timecode_tx_ready_s1_readdata[8] => timecode_tx_ready_s1_readdata[8].IN1
timecode_tx_ready_s1_readdata[9] => timecode_tx_ready_s1_readdata[9].IN1
timecode_tx_ready_s1_readdata[10] => timecode_tx_ready_s1_readdata[10].IN1
timecode_tx_ready_s1_readdata[11] => timecode_tx_ready_s1_readdata[11].IN1
timecode_tx_ready_s1_readdata[12] => timecode_tx_ready_s1_readdata[12].IN1
timecode_tx_ready_s1_readdata[13] => timecode_tx_ready_s1_readdata[13].IN1
timecode_tx_ready_s1_readdata[14] => timecode_tx_ready_s1_readdata[14].IN1
timecode_tx_ready_s1_readdata[15] => timecode_tx_ready_s1_readdata[15].IN1
timecode_tx_ready_s1_readdata[16] => timecode_tx_ready_s1_readdata[16].IN1
timecode_tx_ready_s1_readdata[17] => timecode_tx_ready_s1_readdata[17].IN1
timecode_tx_ready_s1_readdata[18] => timecode_tx_ready_s1_readdata[18].IN1
timecode_tx_ready_s1_readdata[19] => timecode_tx_ready_s1_readdata[19].IN1
timecode_tx_ready_s1_readdata[20] => timecode_tx_ready_s1_readdata[20].IN1
timecode_tx_ready_s1_readdata[21] => timecode_tx_ready_s1_readdata[21].IN1
timecode_tx_ready_s1_readdata[22] => timecode_tx_ready_s1_readdata[22].IN1
timecode_tx_ready_s1_readdata[23] => timecode_tx_ready_s1_readdata[23].IN1
timecode_tx_ready_s1_readdata[24] => timecode_tx_ready_s1_readdata[24].IN1
timecode_tx_ready_s1_readdata[25] => timecode_tx_ready_s1_readdata[25].IN1
timecode_tx_ready_s1_readdata[26] => timecode_tx_ready_s1_readdata[26].IN1
timecode_tx_ready_s1_readdata[27] => timecode_tx_ready_s1_readdata[27].IN1
timecode_tx_ready_s1_readdata[28] => timecode_tx_ready_s1_readdata[28].IN1
timecode_tx_ready_s1_readdata[29] => timecode_tx_ready_s1_readdata[29].IN1
timecode_tx_ready_s1_readdata[30] => timecode_tx_ready_s1_readdata[30].IN1
timecode_tx_ready_s1_readdata[31] => timecode_tx_ready_s1_readdata[31].IN1
write_data_fifo_tx_s1_address[0] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_address
write_data_fifo_tx_s1_address[1] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_address
write_data_fifo_tx_s1_write <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_write
write_data_fifo_tx_s1_readdata[0] => write_data_fifo_tx_s1_readdata[0].IN1
write_data_fifo_tx_s1_readdata[1] => write_data_fifo_tx_s1_readdata[1].IN1
write_data_fifo_tx_s1_readdata[2] => write_data_fifo_tx_s1_readdata[2].IN1
write_data_fifo_tx_s1_readdata[3] => write_data_fifo_tx_s1_readdata[3].IN1
write_data_fifo_tx_s1_readdata[4] => write_data_fifo_tx_s1_readdata[4].IN1
write_data_fifo_tx_s1_readdata[5] => write_data_fifo_tx_s1_readdata[5].IN1
write_data_fifo_tx_s1_readdata[6] => write_data_fifo_tx_s1_readdata[6].IN1
write_data_fifo_tx_s1_readdata[7] => write_data_fifo_tx_s1_readdata[7].IN1
write_data_fifo_tx_s1_readdata[8] => write_data_fifo_tx_s1_readdata[8].IN1
write_data_fifo_tx_s1_readdata[9] => write_data_fifo_tx_s1_readdata[9].IN1
write_data_fifo_tx_s1_readdata[10] => write_data_fifo_tx_s1_readdata[10].IN1
write_data_fifo_tx_s1_readdata[11] => write_data_fifo_tx_s1_readdata[11].IN1
write_data_fifo_tx_s1_readdata[12] => write_data_fifo_tx_s1_readdata[12].IN1
write_data_fifo_tx_s1_readdata[13] => write_data_fifo_tx_s1_readdata[13].IN1
write_data_fifo_tx_s1_readdata[14] => write_data_fifo_tx_s1_readdata[14].IN1
write_data_fifo_tx_s1_readdata[15] => write_data_fifo_tx_s1_readdata[15].IN1
write_data_fifo_tx_s1_readdata[16] => write_data_fifo_tx_s1_readdata[16].IN1
write_data_fifo_tx_s1_readdata[17] => write_data_fifo_tx_s1_readdata[17].IN1
write_data_fifo_tx_s1_readdata[18] => write_data_fifo_tx_s1_readdata[18].IN1
write_data_fifo_tx_s1_readdata[19] => write_data_fifo_tx_s1_readdata[19].IN1
write_data_fifo_tx_s1_readdata[20] => write_data_fifo_tx_s1_readdata[20].IN1
write_data_fifo_tx_s1_readdata[21] => write_data_fifo_tx_s1_readdata[21].IN1
write_data_fifo_tx_s1_readdata[22] => write_data_fifo_tx_s1_readdata[22].IN1
write_data_fifo_tx_s1_readdata[23] => write_data_fifo_tx_s1_readdata[23].IN1
write_data_fifo_tx_s1_readdata[24] => write_data_fifo_tx_s1_readdata[24].IN1
write_data_fifo_tx_s1_readdata[25] => write_data_fifo_tx_s1_readdata[25].IN1
write_data_fifo_tx_s1_readdata[26] => write_data_fifo_tx_s1_readdata[26].IN1
write_data_fifo_tx_s1_readdata[27] => write_data_fifo_tx_s1_readdata[27].IN1
write_data_fifo_tx_s1_readdata[28] => write_data_fifo_tx_s1_readdata[28].IN1
write_data_fifo_tx_s1_readdata[29] => write_data_fifo_tx_s1_readdata[29].IN1
write_data_fifo_tx_s1_readdata[30] => write_data_fifo_tx_s1_readdata[30].IN1
write_data_fifo_tx_s1_readdata[31] => write_data_fifo_tx_s1_readdata[31].IN1
write_data_fifo_tx_s1_writedata[0] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[1] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[2] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[3] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[4] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[5] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[6] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[7] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[8] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[9] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[10] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[11] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[12] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[13] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[14] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[15] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[16] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[17] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[18] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[19] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[20] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[21] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[22] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[23] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[24] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[25] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[26] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[27] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[28] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[29] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[30] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_writedata[31] <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_writedata
write_data_fifo_tx_s1_chipselect <= altera_merlin_slave_translator:write_data_fifo_tx_s1_translator.av_chipselect
write_en_tx_s1_address[0] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_address
write_en_tx_s1_address[1] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_address
write_en_tx_s1_write <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_write
write_en_tx_s1_readdata[0] => write_en_tx_s1_readdata[0].IN1
write_en_tx_s1_readdata[1] => write_en_tx_s1_readdata[1].IN1
write_en_tx_s1_readdata[2] => write_en_tx_s1_readdata[2].IN1
write_en_tx_s1_readdata[3] => write_en_tx_s1_readdata[3].IN1
write_en_tx_s1_readdata[4] => write_en_tx_s1_readdata[4].IN1
write_en_tx_s1_readdata[5] => write_en_tx_s1_readdata[5].IN1
write_en_tx_s1_readdata[6] => write_en_tx_s1_readdata[6].IN1
write_en_tx_s1_readdata[7] => write_en_tx_s1_readdata[7].IN1
write_en_tx_s1_readdata[8] => write_en_tx_s1_readdata[8].IN1
write_en_tx_s1_readdata[9] => write_en_tx_s1_readdata[9].IN1
write_en_tx_s1_readdata[10] => write_en_tx_s1_readdata[10].IN1
write_en_tx_s1_readdata[11] => write_en_tx_s1_readdata[11].IN1
write_en_tx_s1_readdata[12] => write_en_tx_s1_readdata[12].IN1
write_en_tx_s1_readdata[13] => write_en_tx_s1_readdata[13].IN1
write_en_tx_s1_readdata[14] => write_en_tx_s1_readdata[14].IN1
write_en_tx_s1_readdata[15] => write_en_tx_s1_readdata[15].IN1
write_en_tx_s1_readdata[16] => write_en_tx_s1_readdata[16].IN1
write_en_tx_s1_readdata[17] => write_en_tx_s1_readdata[17].IN1
write_en_tx_s1_readdata[18] => write_en_tx_s1_readdata[18].IN1
write_en_tx_s1_readdata[19] => write_en_tx_s1_readdata[19].IN1
write_en_tx_s1_readdata[20] => write_en_tx_s1_readdata[20].IN1
write_en_tx_s1_readdata[21] => write_en_tx_s1_readdata[21].IN1
write_en_tx_s1_readdata[22] => write_en_tx_s1_readdata[22].IN1
write_en_tx_s1_readdata[23] => write_en_tx_s1_readdata[23].IN1
write_en_tx_s1_readdata[24] => write_en_tx_s1_readdata[24].IN1
write_en_tx_s1_readdata[25] => write_en_tx_s1_readdata[25].IN1
write_en_tx_s1_readdata[26] => write_en_tx_s1_readdata[26].IN1
write_en_tx_s1_readdata[27] => write_en_tx_s1_readdata[27].IN1
write_en_tx_s1_readdata[28] => write_en_tx_s1_readdata[28].IN1
write_en_tx_s1_readdata[29] => write_en_tx_s1_readdata[29].IN1
write_en_tx_s1_readdata[30] => write_en_tx_s1_readdata[30].IN1
write_en_tx_s1_readdata[31] => write_en_tx_s1_readdata[31].IN1
write_en_tx_s1_writedata[0] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[1] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[2] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[3] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[4] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[5] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[6] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[7] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[8] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[9] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[10] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[11] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[12] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[13] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[14] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[15] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[16] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[17] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[18] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[19] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[20] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[21] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[22] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[23] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[24] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[25] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[26] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[27] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[28] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[29] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[30] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_writedata[31] <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_writedata
write_en_tx_s1_chipselect <= altera_merlin_slave_translator:write_en_tx_s1_translator.av_chipselect


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:led_pio_test_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timecode_rx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timecode_ready_rx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:data_flag_rx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:data_read_en_rx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:fifo_full_rx_status_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:fifo_empty_rx_status_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:link_start_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:auto_start_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:link_disable_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:write_data_fifo_tx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:write_en_tx_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:fifo_full_tx_status_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:fifo_empty_tx_status_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timecode_tx_data_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timecode_tx_enable_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timecode_tx_ready_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:data_info_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:clock_sel_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:fsm_info_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:counter_tx_fifo_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:counter_rx_fifo_s1_translator
clk => in_transfer.CLK
clk => end_beginbursttransfer.CLK
clk => end_begintransfer.CLK
clk => av_chipselect_pre.CLK
clk => av_outputenable_pre.CLK
clk => read_latency_shift_reg[0].CLK
clk => av_readdata_pre[0].CLK
clk => av_readdata_pre[1].CLK
clk => av_readdata_pre[2].CLK
clk => av_readdata_pre[3].CLK
clk => av_readdata_pre[4].CLK
clk => av_readdata_pre[5].CLK
clk => av_readdata_pre[6].CLK
clk => av_readdata_pre[7].CLK
clk => av_readdata_pre[8].CLK
clk => av_readdata_pre[9].CLK
clk => av_readdata_pre[10].CLK
clk => av_readdata_pre[11].CLK
clk => av_readdata_pre[12].CLK
clk => av_readdata_pre[13].CLK
clk => av_readdata_pre[14].CLK
clk => av_readdata_pre[15].CLK
clk => av_readdata_pre[16].CLK
clk => av_readdata_pre[17].CLK
clk => av_readdata_pre[18].CLK
clk => av_readdata_pre[19].CLK
clk => av_readdata_pre[20].CLK
clk => av_readdata_pre[21].CLK
clk => av_readdata_pre[22].CLK
clk => av_readdata_pre[23].CLK
clk => av_readdata_pre[24].CLK
clk => av_readdata_pre[25].CLK
clk => av_readdata_pre[26].CLK
clk => av_readdata_pre[27].CLK
clk => av_readdata_pre[28].CLK
clk => av_readdata_pre[29].CLK
clk => av_readdata_pre[30].CLK
clk => av_readdata_pre[31].CLK
clk => waitrequest_reset_override.CLK
clk => wait_latency_counter[0].CLK
clk => wait_latency_counter[1].CLK
reset => in_transfer.ACLR
reset => end_beginbursttransfer.ACLR
reset => end_begintransfer.ACLR
reset => av_chipselect_pre.ACLR
reset => av_outputenable_pre.ACLR
reset => read_latency_shift_reg[0].ACLR
reset => av_readdata_pre[0].ACLR
reset => av_readdata_pre[1].ACLR
reset => av_readdata_pre[2].ACLR
reset => av_readdata_pre[3].ACLR
reset => av_readdata_pre[4].ACLR
reset => av_readdata_pre[5].ACLR
reset => av_readdata_pre[6].ACLR
reset => av_readdata_pre[7].ACLR
reset => av_readdata_pre[8].ACLR
reset => av_readdata_pre[9].ACLR
reset => av_readdata_pre[10].ACLR
reset => av_readdata_pre[11].ACLR
reset => av_readdata_pre[12].ACLR
reset => av_readdata_pre[13].ACLR
reset => av_readdata_pre[14].ACLR
reset => av_readdata_pre[15].ACLR
reset => av_readdata_pre[16].ACLR
reset => av_readdata_pre[17].ACLR
reset => av_readdata_pre[18].ACLR
reset => av_readdata_pre[19].ACLR
reset => av_readdata_pre[20].ACLR
reset => av_readdata_pre[21].ACLR
reset => av_readdata_pre[22].ACLR
reset => av_readdata_pre[23].ACLR
reset => av_readdata_pre[24].ACLR
reset => av_readdata_pre[25].ACLR
reset => av_readdata_pre[26].ACLR
reset => av_readdata_pre[27].ACLR
reset => av_readdata_pre[28].ACLR
reset => av_readdata_pre[29].ACLR
reset => av_readdata_pre[30].ACLR
reset => av_readdata_pre[31].ACLR
reset => waitrequest_reset_override.PRESET
reset => wait_latency_counter[0].ACLR
reset => wait_latency_counter[1].ACLR
uav_address[0] => ~NO_FANOUT~
uav_address[1] => ~NO_FANOUT~
uav_address[2] => av_address[0].DATAIN
uav_address[3] => av_address[1].DATAIN
uav_address[4] => ~NO_FANOUT~
uav_address[5] => ~NO_FANOUT~
uav_address[6] => ~NO_FANOUT~
uav_address[7] => ~NO_FANOUT~
uav_address[8] => ~NO_FANOUT~
uav_address[9] => ~NO_FANOUT~
uav_address[10] => ~NO_FANOUT~
uav_address[11] => ~NO_FANOUT~
uav_address[12] => ~NO_FANOUT~
uav_address[13] => ~NO_FANOUT~
uav_address[14] => ~NO_FANOUT~
uav_address[15] => ~NO_FANOUT~
uav_address[16] => ~NO_FANOUT~
uav_address[17] => ~NO_FANOUT~
uav_address[18] => ~NO_FANOUT~
uav_address[19] => ~NO_FANOUT~
uav_address[20] => ~NO_FANOUT~
uav_address[21] => ~NO_FANOUT~
uav_address[22] => ~NO_FANOUT~
uav_address[23] => ~NO_FANOUT~
uav_address[24] => ~NO_FANOUT~
uav_address[25] => ~NO_FANOUT~
uav_address[26] => ~NO_FANOUT~
uav_address[27] => ~NO_FANOUT~
uav_address[28] => ~NO_FANOUT~
uav_address[29] => ~NO_FANOUT~
uav_writedata[0] => av_writedata[0].DATAIN
uav_writedata[1] => av_writedata[1].DATAIN
uav_writedata[2] => av_writedata[2].DATAIN
uav_writedata[3] => av_writedata[3].DATAIN
uav_writedata[4] => av_writedata[4].DATAIN
uav_writedata[5] => av_writedata[5].DATAIN
uav_writedata[6] => av_writedata[6].DATAIN
uav_writedata[7] => av_writedata[7].DATAIN
uav_writedata[8] => av_writedata[8].DATAIN
uav_writedata[9] => av_writedata[9].DATAIN
uav_writedata[10] => av_writedata[10].DATAIN
uav_writedata[11] => av_writedata[11].DATAIN
uav_writedata[12] => av_writedata[12].DATAIN
uav_writedata[13] => av_writedata[13].DATAIN
uav_writedata[14] => av_writedata[14].DATAIN
uav_writedata[15] => av_writedata[15].DATAIN
uav_writedata[16] => av_writedata[16].DATAIN
uav_writedata[17] => av_writedata[17].DATAIN
uav_writedata[18] => av_writedata[18].DATAIN
uav_writedata[19] => av_writedata[19].DATAIN
uav_writedata[20] => av_writedata[20].DATAIN
uav_writedata[21] => av_writedata[21].DATAIN
uav_writedata[22] => av_writedata[22].DATAIN
uav_writedata[23] => av_writedata[23].DATAIN
uav_writedata[24] => av_writedata[24].DATAIN
uav_writedata[25] => av_writedata[25].DATAIN
uav_writedata[26] => av_writedata[26].DATAIN
uav_writedata[27] => av_writedata[27].DATAIN
uav_writedata[28] => av_writedata[28].DATAIN
uav_writedata[29] => av_writedata[29].DATAIN
uav_writedata[30] => av_writedata[30].DATAIN
uav_writedata[31] => av_writedata[31].DATAIN
uav_write => av_writebyteenable.IN0
uav_write => av_write.IN1
uav_write => av_waitrequest_generated.OUTPUTSELECT
uav_write => av_begintransfer.IN0
uav_write => end_beginbursttransfer.IN1
uav_write => always21.IN1
uav_write => in_transfer.OUTPUTSELECT
uav_read => av_read.IN1
uav_read => read_latency_shift_reg.IN1
uav_read => av_outputenable.OUTPUTSELECT
uav_read => av_begintransfer.IN1
uav_read => av_beginbursttransfer.OUTPUTSELECT
uav_burstcount[0] => Equal2.IN2
uav_burstcount[1] => Equal2.IN1
uav_burstcount[2] => av_burstcount[0].DATAIN
uav_burstcount[2] => Equal2.IN0
uav_byteenable[0] => av_writebyteenable.IN1
uav_byteenable[0] => av_byteenable[0].DATAIN
uav_byteenable[1] => ~NO_FANOUT~
uav_byteenable[2] => ~NO_FANOUT~
uav_byteenable[3] => ~NO_FANOUT~
uav_lock => av_lock.DATAIN
uav_debugaccess => av_debugaccess.DATAIN
uav_clken => ~NO_FANOUT~
uav_readdatavalid <= read_latency_shift_reg[0].DB_MAX_OUTPUT_PORT_TYPE
uav_waitrequest <= uav_waitrequest.DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[0] <= av_readdata_pre[0].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[1] <= av_readdata_pre[1].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[2] <= av_readdata_pre[2].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[3] <= av_readdata_pre[3].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[4] <= av_readdata_pre[4].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[5] <= av_readdata_pre[5].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[6] <= av_readdata_pre[6].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[7] <= av_readdata_pre[7].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[8] <= av_readdata_pre[8].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[9] <= av_readdata_pre[9].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[10] <= av_readdata_pre[10].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[11] <= av_readdata_pre[11].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[12] <= av_readdata_pre[12].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[13] <= av_readdata_pre[13].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[14] <= av_readdata_pre[14].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[15] <= av_readdata_pre[15].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[16] <= av_readdata_pre[16].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[17] <= av_readdata_pre[17].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[18] <= av_readdata_pre[18].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[19] <= av_readdata_pre[19].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[20] <= av_readdata_pre[20].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[21] <= av_readdata_pre[21].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[22] <= av_readdata_pre[22].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[23] <= av_readdata_pre[23].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[24] <= av_readdata_pre[24].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[25] <= av_readdata_pre[25].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[26] <= av_readdata_pre[26].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[27] <= av_readdata_pre[27].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[28] <= av_readdata_pre[28].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[29] <= av_readdata_pre[29].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[30] <= av_readdata_pre[30].DB_MAX_OUTPUT_PORT_TYPE
uav_readdata[31] <= av_readdata_pre[31].DB_MAX_OUTPUT_PORT_TYPE
uav_response[0] <= <GND>
uav_response[1] <= <GND>
uav_writeresponsevalid <= av_writeresponsevalid.DB_MAX_OUTPUT_PORT_TYPE
av_address[0] <= uav_address[2].DB_MAX_OUTPUT_PORT_TYPE
av_address[1] <= uav_address[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[0] <= uav_writedata[0].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[1] <= uav_writedata[1].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[2] <= uav_writedata[2].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[3] <= uav_writedata[3].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[4] <= uav_writedata[4].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[5] <= uav_writedata[5].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[6] <= uav_writedata[6].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[7] <= uav_writedata[7].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[8] <= uav_writedata[8].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[9] <= uav_writedata[9].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[10] <= uav_writedata[10].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[11] <= uav_writedata[11].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[12] <= uav_writedata[12].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[13] <= uav_writedata[13].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[14] <= uav_writedata[14].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[15] <= uav_writedata[15].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[16] <= uav_writedata[16].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[17] <= uav_writedata[17].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[18] <= uav_writedata[18].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[19] <= uav_writedata[19].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[20] <= uav_writedata[20].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[21] <= uav_writedata[21].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[22] <= uav_writedata[22].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[23] <= uav_writedata[23].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[24] <= uav_writedata[24].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[25] <= uav_writedata[25].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[26] <= uav_writedata[26].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[27] <= uav_writedata[27].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[28] <= uav_writedata[28].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[29] <= uav_writedata[29].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[30] <= uav_writedata[30].DB_MAX_OUTPUT_PORT_TYPE
av_writedata[31] <= uav_writedata[31].DB_MAX_OUTPUT_PORT_TYPE
av_write <= av_write.DB_MAX_OUTPUT_PORT_TYPE
av_read <= av_read.DB_MAX_OUTPUT_PORT_TYPE
av_burstcount[0] <= uav_burstcount[2].DB_MAX_OUTPUT_PORT_TYPE
av_byteenable[0] <= uav_byteenable[0].DB_MAX_OUTPUT_PORT_TYPE
av_writebyteenable[0] <= av_writebyteenable.DB_MAX_OUTPUT_PORT_TYPE
av_begintransfer <= av_begintransfer.DB_MAX_OUTPUT_PORT_TYPE
av_chipselect <= av_chipselect.DB_MAX_OUTPUT_PORT_TYPE
av_beginbursttransfer <= av_beginbursttransfer.DB_MAX_OUTPUT_PORT_TYPE
av_lock <= uav_lock.DB_MAX_OUTPUT_PORT_TYPE
av_clken <= <VCC>
av_debugaccess <= uav_debugaccess.DB_MAX_OUTPUT_PORT_TYPE
av_outputenable <= av_outputenable.DB_MAX_OUTPUT_PORT_TYPE
av_readdata[0] => av_readdata_pre[0].DATAIN
av_readdata[1] => av_readdata_pre[1].DATAIN
av_readdata[2] => av_readdata_pre[2].DATAIN
av_readdata[3] => av_readdata_pre[3].DATAIN
av_readdata[4] => av_readdata_pre[4].DATAIN
av_readdata[5] => av_readdata_pre[5].DATAIN
av_readdata[6] => av_readdata_pre[6].DATAIN
av_readdata[7] => av_readdata_pre[7].DATAIN
av_readdata[8] => av_readdata_pre[8].DATAIN
av_readdata[9] => av_readdata_pre[9].DATAIN
av_readdata[10] => av_readdata_pre[10].DATAIN
av_readdata[11] => av_readdata_pre[11].DATAIN
av_readdata[12] => av_readdata_pre[12].DATAIN
av_readdata[13] => av_readdata_pre[13].DATAIN
av_readdata[14] => av_readdata_pre[14].DATAIN
av_readdata[15] => av_readdata_pre[15].DATAIN
av_readdata[16] => av_readdata_pre[16].DATAIN
av_readdata[17] => av_readdata_pre[17].DATAIN
av_readdata[18] => av_readdata_pre[18].DATAIN
av_readdata[19] => av_readdata_pre[19].DATAIN
av_readdata[20] => av_readdata_pre[20].DATAIN
av_readdata[21] => av_readdata_pre[21].DATAIN
av_readdata[22] => av_readdata_pre[22].DATAIN
av_readdata[23] => av_readdata_pre[23].DATAIN
av_readdata[24] => av_readdata_pre[24].DATAIN
av_readdata[25] => av_readdata_pre[25].DATAIN
av_readdata[26] => av_readdata_pre[26].DATAIN
av_readdata[27] => av_readdata_pre[27].DATAIN
av_readdata[28] => av_readdata_pre[28].DATAIN
av_readdata[29] => av_readdata_pre[29].DATAIN
av_readdata[30] => av_readdata_pre[30].DATAIN
av_readdata[31] => av_readdata_pre[31].DATAIN
av_readdatavalid => ~NO_FANOUT~
av_waitrequest => ~NO_FANOUT~
av_response[0] => ~NO_FANOUT~
av_response[1] => ~NO_FANOUT~
av_writeresponsevalid => uav_writeresponsevalid.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent
aclk => aclk.IN1
aresetn => aresetn.IN1
awid[0] => write_cp_data[105].DATAIN
awid[1] => write_cp_data[106].DATAIN
awid[2] => write_cp_data[107].DATAIN
awid[3] => write_cp_data[108].DATAIN
awid[4] => write_cp_data[109].DATAIN
awid[5] => write_cp_data[110].DATAIN
awid[6] => write_cp_data[111].DATAIN
awid[7] => write_cp_data[112].DATAIN
awid[8] => write_cp_data[113].DATAIN
awid[9] => write_cp_data[114].DATAIN
awid[10] => write_cp_data[115].DATAIN
awid[11] => write_cp_data[116].DATAIN
awaddr[0] => address_for_alignment[3].IN1
awaddr[1] => address_for_alignment[4].IN1
awaddr[2] => address_for_alignment[5].IN1
awaddr[3] => address_for_alignment[6].IN1
awaddr[4] => address_for_alignment[7].IN1
awaddr[5] => address_for_alignment[8].IN1
awaddr[6] => address_for_alignment[9].IN1
awaddr[7] => address_for_alignment[10].IN1
awaddr[8] => address_for_alignment[11].IN1
awaddr[9] => address_for_alignment[12].IN1
awaddr[10] => address_for_alignment[13].IN1
awaddr[11] => address_for_alignment[14].IN1
awaddr[12] => address_for_alignment[15].IN1
awaddr[13] => address_for_alignment[16].IN1
awaddr[14] => address_for_alignment[17].IN1
awaddr[15] => address_for_alignment[18].IN1
awaddr[16] => address_for_alignment[19].IN1
awaddr[17] => address_for_alignment[20].IN1
awaddr[18] => address_for_alignment[21].IN1
awaddr[19] => address_for_alignment[22].IN1
awaddr[20] => address_for_alignment[23].IN1
awaddr[21] => address_for_alignment[24].IN1
awaddr[22] => address_for_alignment[25].IN1
awaddr[23] => address_for_alignment[26].IN1
awaddr[24] => address_for_alignment[27].IN1
awaddr[25] => address_for_alignment[28].IN1
awaddr[26] => address_for_alignment[29].IN1
awaddr[27] => address_for_alignment[30].IN1
awaddr[28] => address_for_alignment[31].IN1
awaddr[29] => address_for_alignment[32].IN1
awlen[0] => Add0.IN8
awlen[0] => Add6.IN8
awlen[1] => Add0.IN7
awlen[1] => Add6.IN7
awlen[2] => Add0.IN6
awlen[2] => Add6.IN6
awlen[3] => Add0.IN5
awlen[3] => Add6.IN5
awsize[0] => write_cp_data[86].IN1
awsize[1] => write_cp_data[87].IN1
awsize[2] => write_cp_data[88].IN1
awburst[0] => write_cp_data[89].IN1
awburst[1] => write_cp_data[90].IN1
awlock[0] => write_cp_data[71].DATAIN
awlock[1] => ~NO_FANOUT~
awcache[0] => write_cp_data[120].DATAIN
awcache[1] => write_cp_data[121].DATAIN
awcache[2] => write_cp_data[122].DATAIN
awcache[3] => write_cp_data[123].DATAIN
awprot[0] => write_cp_data[117].DATAIN
awprot[1] => write_cp_data[118].DATAIN
awprot[2] => write_cp_data[119].DATAIN
awqos[0] => ~NO_FANOUT~
awqos[1] => ~NO_FANOUT~
awqos[2] => ~NO_FANOUT~
awqos[3] => ~NO_FANOUT~
awregion[0] => ~NO_FANOUT~
awregion[1] => ~NO_FANOUT~
awregion[2] => ~NO_FANOUT~
awregion[3] => ~NO_FANOUT~
awuser[0] => write_cp_data[91].DATAIN
awvalid => write_addr_data_both_valid.IN0
awready <= awready.DB_MAX_OUTPUT_PORT_TYPE
wid[0] => ~NO_FANOUT~
wid[1] => ~NO_FANOUT~
wid[2] => ~NO_FANOUT~
wid[3] => ~NO_FANOUT~
wid[4] => ~NO_FANOUT~
wid[5] => ~NO_FANOUT~
wid[6] => ~NO_FANOUT~
wid[7] => ~NO_FANOUT~
wid[8] => ~NO_FANOUT~
wid[9] => ~NO_FANOUT~
wid[10] => ~NO_FANOUT~
wid[11] => ~NO_FANOUT~
wdata[0] => write_cp_data[0].DATAIN
wdata[1] => write_cp_data[1].DATAIN
wdata[2] => write_cp_data[2].DATAIN
wdata[3] => write_cp_data[3].DATAIN
wdata[4] => write_cp_data[4].DATAIN
wdata[5] => write_cp_data[5].DATAIN
wdata[6] => write_cp_data[6].DATAIN
wdata[7] => write_cp_data[7].DATAIN
wdata[8] => write_cp_data[8].DATAIN
wdata[9] => write_cp_data[9].DATAIN
wdata[10] => write_cp_data[10].DATAIN
wdata[11] => write_cp_data[11].DATAIN
wdata[12] => write_cp_data[12].DATAIN
wdata[13] => write_cp_data[13].DATAIN
wdata[14] => write_cp_data[14].DATAIN
wdata[15] => write_cp_data[15].DATAIN
wdata[16] => write_cp_data[16].DATAIN
wdata[17] => write_cp_data[17].DATAIN
wdata[18] => write_cp_data[18].DATAIN
wdata[19] => write_cp_data[19].DATAIN
wdata[20] => write_cp_data[20].DATAIN
wdata[21] => write_cp_data[21].DATAIN
wdata[22] => write_cp_data[22].DATAIN
wdata[23] => write_cp_data[23].DATAIN
wdata[24] => write_cp_data[24].DATAIN
wdata[25] => write_cp_data[25].DATAIN
wdata[26] => write_cp_data[26].DATAIN
wdata[27] => write_cp_data[27].DATAIN
wdata[28] => write_cp_data[28].DATAIN
wdata[29] => write_cp_data[29].DATAIN
wdata[30] => write_cp_data[30].DATAIN
wdata[31] => write_cp_data[31].DATAIN
wstrb[0] => write_cp_data[32].DATAIN
wstrb[1] => write_cp_data[33].DATAIN
wstrb[2] => write_cp_data[34].DATAIN
wstrb[3] => write_cp_data[35].DATAIN
wlast => wlast.IN1
wvalid => write_addr_data_both_valid.IN1
wuser[0] => ~NO_FANOUT~
wready <= wready.DB_MAX_OUTPUT_PORT_TYPE
bid[0] <= write_rp_data[105].DB_MAX_OUTPUT_PORT_TYPE
bid[1] <= write_rp_data[106].DB_MAX_OUTPUT_PORT_TYPE
bid[2] <= write_rp_data[107].DB_MAX_OUTPUT_PORT_TYPE
bid[3] <= write_rp_data[108].DB_MAX_OUTPUT_PORT_TYPE
bid[4] <= write_rp_data[109].DB_MAX_OUTPUT_PORT_TYPE
bid[5] <= write_rp_data[110].DB_MAX_OUTPUT_PORT_TYPE
bid[6] <= write_rp_data[111].DB_MAX_OUTPUT_PORT_TYPE
bid[7] <= write_rp_data[112].DB_MAX_OUTPUT_PORT_TYPE
bid[8] <= write_rp_data[113].DB_MAX_OUTPUT_PORT_TYPE
bid[9] <= write_rp_data[114].DB_MAX_OUTPUT_PORT_TYPE
bid[10] <= write_rp_data[115].DB_MAX_OUTPUT_PORT_TYPE
bid[11] <= write_rp_data[116].DB_MAX_OUTPUT_PORT_TYPE
bresp[0] <= write_rp_data[124].DB_MAX_OUTPUT_PORT_TYPE
bresp[1] <= write_rp_data[125].DB_MAX_OUTPUT_PORT_TYPE
bvalid <= write_rp_valid.DB_MAX_OUTPUT_PORT_TYPE
bready => write_rp_ready.DATAIN
buser[0] <= write_rp_data[92].DB_MAX_OUTPUT_PORT_TYPE
arid[0] => read_cp_data[105].DATAIN
arid[1] => read_cp_data[106].DATAIN
arid[2] => read_cp_data[107].DATAIN
arid[3] => read_cp_data[108].DATAIN
arid[4] => read_cp_data[109].DATAIN
arid[5] => read_cp_data[110].DATAIN
arid[6] => read_cp_data[111].DATAIN
arid[7] => read_cp_data[112].DATAIN
arid[8] => read_cp_data[113].DATAIN
arid[9] => read_cp_data[114].DATAIN
arid[10] => read_cp_data[115].DATAIN
arid[11] => read_cp_data[116].DATAIN
araddr[0] => read_cp_data[36].DATAIN
araddr[1] => read_cp_data[37].DATAIN
araddr[2] => read_cp_data[38].DATAIN
araddr[3] => read_cp_data[39].DATAIN
araddr[4] => read_cp_data[40].DATAIN
araddr[5] => read_cp_data[41].DATAIN
araddr[6] => read_cp_data[42].DATAIN
araddr[7] => read_cp_data[43].DATAIN
araddr[8] => read_cp_data[44].DATAIN
araddr[9] => read_cp_data[45].DATAIN
araddr[10] => read_cp_data[46].DATAIN
araddr[11] => read_cp_data[47].DATAIN
araddr[12] => read_cp_data[48].DATAIN
araddr[13] => read_cp_data[49].DATAIN
araddr[14] => read_cp_data[50].DATAIN
araddr[15] => read_cp_data[51].DATAIN
araddr[16] => read_cp_data[52].DATAIN
araddr[17] => read_cp_data[53].DATAIN
araddr[18] => read_cp_data[54].DATAIN
araddr[19] => read_cp_data[55].DATAIN
araddr[20] => read_cp_data[56].DATAIN
araddr[21] => read_cp_data[57].DATAIN
araddr[22] => read_cp_data[58].DATAIN
araddr[23] => read_cp_data[59].DATAIN
araddr[24] => read_cp_data[60].DATAIN
araddr[25] => read_cp_data[61].DATAIN
araddr[26] => read_cp_data[62].DATAIN
araddr[27] => read_cp_data[63].DATAIN
araddr[28] => read_cp_data[64].DATAIN
araddr[29] => read_cp_data[65].DATAIN
arlen[0] => Add2.IN8
arlen[1] => Add2.IN7
arlen[2] => Add2.IN6
arlen[3] => Add2.IN5
arsize[0] => Add3.IN6
arsize[0] => Decoder1.IN2
arsize[0] => read_cp_data[126].DATAIN
arsize[0] => read_cp_data[86].DATAIN
arsize[1] => Add3.IN5
arsize[1] => Decoder1.IN1
arsize[1] => read_cp_data[127].DATAIN
arsize[1] => read_cp_data[87].DATAIN
arsize[2] => Add3.IN4
arsize[2] => Decoder1.IN0
arsize[2] => read_cp_data[128].DATAIN
arsize[2] => read_cp_data[88].DATAIN
arburst[0] => read_cp_data[89].DATAIN
arburst[0] => Equal3.IN3
arburst[0] => Equal4.IN3
arburst[0] => Equal5.IN3
arburst[1] => read_cp_data[90].DATAIN
arburst[1] => Equal3.IN2
arburst[1] => Equal4.IN2
arburst[1] => Equal5.IN2
arlock[0] => read_cp_data[71].DATAIN
arlock[1] => ~NO_FANOUT~
arcache[0] => read_cp_data[120].DATAIN
arcache[1] => read_cp_data[121].DATAIN
arcache[2] => read_cp_data[122].DATAIN
arcache[3] => read_cp_data[123].DATAIN
arprot[0] => read_cp_data[117].DATAIN
arprot[1] => read_cp_data[118].DATAIN
arprot[2] => read_cp_data[119].DATAIN
arqos[0] => ~NO_FANOUT~
arqos[1] => ~NO_FANOUT~
arqos[2] => ~NO_FANOUT~
arqos[3] => ~NO_FANOUT~
arregion[0] => ~NO_FANOUT~
arregion[1] => ~NO_FANOUT~
arregion[2] => ~NO_FANOUT~
arregion[3] => ~NO_FANOUT~
aruser[0] => read_cp_data[91].DATAIN
arvalid => read_cp_valid.DATAIN
arready <= read_cp_ready.DB_MAX_OUTPUT_PORT_TYPE
rid[0] <= read_rp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rid[1] <= read_rp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rid[2] <= read_rp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rid[3] <= read_rp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rid[4] <= read_rp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rid[5] <= read_rp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rid[6] <= read_rp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rid[7] <= read_rp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rid[8] <= read_rp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rid[9] <= read_rp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rid[10] <= read_rp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rid[11] <= read_rp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rdata[0] <= read_rp_data[0].DB_MAX_OUTPUT_PORT_TYPE
rdata[1] <= read_rp_data[1].DB_MAX_OUTPUT_PORT_TYPE
rdata[2] <= read_rp_data[2].DB_MAX_OUTPUT_PORT_TYPE
rdata[3] <= read_rp_data[3].DB_MAX_OUTPUT_PORT_TYPE
rdata[4] <= read_rp_data[4].DB_MAX_OUTPUT_PORT_TYPE
rdata[5] <= read_rp_data[5].DB_MAX_OUTPUT_PORT_TYPE
rdata[6] <= read_rp_data[6].DB_MAX_OUTPUT_PORT_TYPE
rdata[7] <= read_rp_data[7].DB_MAX_OUTPUT_PORT_TYPE
rdata[8] <= read_rp_data[8].DB_MAX_OUTPUT_PORT_TYPE
rdata[9] <= read_rp_data[9].DB_MAX_OUTPUT_PORT_TYPE
rdata[10] <= read_rp_data[10].DB_MAX_OUTPUT_PORT_TYPE
rdata[11] <= read_rp_data[11].DB_MAX_OUTPUT_PORT_TYPE
rdata[12] <= read_rp_data[12].DB_MAX_OUTPUT_PORT_TYPE
rdata[13] <= read_rp_data[13].DB_MAX_OUTPUT_PORT_TYPE
rdata[14] <= read_rp_data[14].DB_MAX_OUTPUT_PORT_TYPE
rdata[15] <= read_rp_data[15].DB_MAX_OUTPUT_PORT_TYPE
rdata[16] <= read_rp_data[16].DB_MAX_OUTPUT_PORT_TYPE
rdata[17] <= read_rp_data[17].DB_MAX_OUTPUT_PORT_TYPE
rdata[18] <= read_rp_data[18].DB_MAX_OUTPUT_PORT_TYPE
rdata[19] <= read_rp_data[19].DB_MAX_OUTPUT_PORT_TYPE
rdata[20] <= read_rp_data[20].DB_MAX_OUTPUT_PORT_TYPE
rdata[21] <= read_rp_data[21].DB_MAX_OUTPUT_PORT_TYPE
rdata[22] <= read_rp_data[22].DB_MAX_OUTPUT_PORT_TYPE
rdata[23] <= read_rp_data[23].DB_MAX_OUTPUT_PORT_TYPE
rdata[24] <= read_rp_data[24].DB_MAX_OUTPUT_PORT_TYPE
rdata[25] <= read_rp_data[25].DB_MAX_OUTPUT_PORT_TYPE
rdata[26] <= read_rp_data[26].DB_MAX_OUTPUT_PORT_TYPE
rdata[27] <= read_rp_data[27].DB_MAX_OUTPUT_PORT_TYPE
rdata[28] <= read_rp_data[28].DB_MAX_OUTPUT_PORT_TYPE
rdata[29] <= read_rp_data[29].DB_MAX_OUTPUT_PORT_TYPE
rdata[30] <= read_rp_data[30].DB_MAX_OUTPUT_PORT_TYPE
rdata[31] <= read_rp_data[31].DB_MAX_OUTPUT_PORT_TYPE
rresp[0] <= read_rp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rresp[1] <= read_rp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rlast <= read_rp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rvalid <= read_rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rready => read_rp_ready.DATAIN
ruser[0] <= read_rp_data[92].DB_MAX_OUTPUT_PORT_TYPE
write_cp_valid <= write_addr_data_both_valid.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[0] <= wdata[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[1] <= wdata[1].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[2] <= wdata[2].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[3] <= wdata[3].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[4] <= wdata[4].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[5] <= wdata[5].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[6] <= wdata[6].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[7] <= wdata[7].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[8] <= wdata[8].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[9] <= wdata[9].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[10] <= wdata[10].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[11] <= wdata[11].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[12] <= wdata[12].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[13] <= wdata[13].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[14] <= wdata[14].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[15] <= wdata[15].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[16] <= wdata[16].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[17] <= wdata[17].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[18] <= wdata[18].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[19] <= wdata[19].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[20] <= wdata[20].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[21] <= wdata[21].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[22] <= wdata[22].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[23] <= wdata[23].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[24] <= wdata[24].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[25] <= wdata[25].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[26] <= wdata[26].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[27] <= wdata[27].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[28] <= wdata[28].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[29] <= wdata[29].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[30] <= wdata[30].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[31] <= wdata[31].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[32] <= wstrb[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[33] <= wstrb[1].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[34] <= wstrb[2].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[35] <= wstrb[3].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[36] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[37] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[38] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[39] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[40] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[41] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[42] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[43] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[44] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[45] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[46] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[47] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[48] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[49] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[50] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[51] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[52] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[53] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[54] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[55] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[56] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[57] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[58] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[59] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[60] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[61] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[62] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[63] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[64] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[65] <= altera_merlin_address_alignment:align_address_to_size.out_data
write_cp_data[66] <= <GND>
write_cp_data[67] <= <GND>
write_cp_data[68] <= <VCC>
write_cp_data[69] <= <GND>
write_cp_data[70] <= <GND>
write_cp_data[71] <= awlock[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[72] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[73] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[74] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[75] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[76] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[77] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[78] <= write_burst_bytecount.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[79] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[80] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[81] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[82] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[83] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[84] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[85] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[86] <= write_cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[87] <= write_cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[88] <= write_cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[89] <= write_cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[90] <= write_cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[91] <= awuser[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[92] <= <GND>
write_cp_data[93] <= <GND>
write_cp_data[94] <= <GND>
write_cp_data[95] <= <GND>
write_cp_data[96] <= <GND>
write_cp_data[97] <= <GND>
write_cp_data[98] <= <GND>
write_cp_data[99] <= <GND>
write_cp_data[100] <= <GND>
write_cp_data[101] <= <GND>
write_cp_data[102] <= <GND>
write_cp_data[103] <= <GND>
write_cp_data[104] <= <GND>
write_cp_data[105] <= awid[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[106] <= awid[1].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[107] <= awid[2].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[108] <= awid[3].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[109] <= awid[4].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[110] <= awid[5].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[111] <= awid[6].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[112] <= awid[7].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[113] <= awid[8].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[114] <= awid[9].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[115] <= awid[10].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[116] <= awid[11].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[117] <= awprot[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[118] <= awprot[1].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[119] <= awprot[2].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[120] <= awcache[0].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[121] <= awcache[1].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[122] <= awcache[2].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[123] <= awcache[3].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[124] <= <GND>
write_cp_data[125] <= <GND>
write_cp_data[126] <= write_cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[127] <= write_cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
write_cp_data[128] <= write_cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
write_cp_startofpacket <= write_cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
write_cp_endofpacket <= wlast.DB_MAX_OUTPUT_PORT_TYPE
write_cp_ready => write_cp_ready.IN1
write_rp_valid => bvalid.DATAIN
write_rp_data[0] => ~NO_FANOUT~
write_rp_data[1] => ~NO_FANOUT~
write_rp_data[2] => ~NO_FANOUT~
write_rp_data[3] => ~NO_FANOUT~
write_rp_data[4] => ~NO_FANOUT~
write_rp_data[5] => ~NO_FANOUT~
write_rp_data[6] => ~NO_FANOUT~
write_rp_data[7] => ~NO_FANOUT~
write_rp_data[8] => ~NO_FANOUT~
write_rp_data[9] => ~NO_FANOUT~
write_rp_data[10] => ~NO_FANOUT~
write_rp_data[11] => ~NO_FANOUT~
write_rp_data[12] => ~NO_FANOUT~
write_rp_data[13] => ~NO_FANOUT~
write_rp_data[14] => ~NO_FANOUT~
write_rp_data[15] => ~NO_FANOUT~
write_rp_data[16] => ~NO_FANOUT~
write_rp_data[17] => ~NO_FANOUT~
write_rp_data[18] => ~NO_FANOUT~
write_rp_data[19] => ~NO_FANOUT~
write_rp_data[20] => ~NO_FANOUT~
write_rp_data[21] => ~NO_FANOUT~
write_rp_data[22] => ~NO_FANOUT~
write_rp_data[23] => ~NO_FANOUT~
write_rp_data[24] => ~NO_FANOUT~
write_rp_data[25] => ~NO_FANOUT~
write_rp_data[26] => ~NO_FANOUT~
write_rp_data[27] => ~NO_FANOUT~
write_rp_data[28] => ~NO_FANOUT~
write_rp_data[29] => ~NO_FANOUT~
write_rp_data[30] => ~NO_FANOUT~
write_rp_data[31] => ~NO_FANOUT~
write_rp_data[32] => ~NO_FANOUT~
write_rp_data[33] => ~NO_FANOUT~
write_rp_data[34] => ~NO_FANOUT~
write_rp_data[35] => ~NO_FANOUT~
write_rp_data[36] => ~NO_FANOUT~
write_rp_data[37] => ~NO_FANOUT~
write_rp_data[38] => ~NO_FANOUT~
write_rp_data[39] => ~NO_FANOUT~
write_rp_data[40] => ~NO_FANOUT~
write_rp_data[41] => ~NO_FANOUT~
write_rp_data[42] => ~NO_FANOUT~
write_rp_data[43] => ~NO_FANOUT~
write_rp_data[44] => ~NO_FANOUT~
write_rp_data[45] => ~NO_FANOUT~
write_rp_data[46] => ~NO_FANOUT~
write_rp_data[47] => ~NO_FANOUT~
write_rp_data[48] => ~NO_FANOUT~
write_rp_data[49] => ~NO_FANOUT~
write_rp_data[50] => ~NO_FANOUT~
write_rp_data[51] => ~NO_FANOUT~
write_rp_data[52] => ~NO_FANOUT~
write_rp_data[53] => ~NO_FANOUT~
write_rp_data[54] => ~NO_FANOUT~
write_rp_data[55] => ~NO_FANOUT~
write_rp_data[56] => ~NO_FANOUT~
write_rp_data[57] => ~NO_FANOUT~
write_rp_data[58] => ~NO_FANOUT~
write_rp_data[59] => ~NO_FANOUT~
write_rp_data[60] => ~NO_FANOUT~
write_rp_data[61] => ~NO_FANOUT~
write_rp_data[62] => ~NO_FANOUT~
write_rp_data[63] => ~NO_FANOUT~
write_rp_data[64] => ~NO_FANOUT~
write_rp_data[65] => ~NO_FANOUT~
write_rp_data[66] => ~NO_FANOUT~
write_rp_data[67] => ~NO_FANOUT~
write_rp_data[68] => ~NO_FANOUT~
write_rp_data[69] => ~NO_FANOUT~
write_rp_data[70] => ~NO_FANOUT~
write_rp_data[71] => ~NO_FANOUT~
write_rp_data[72] => ~NO_FANOUT~
write_rp_data[73] => ~NO_FANOUT~
write_rp_data[74] => ~NO_FANOUT~
write_rp_data[75] => ~NO_FANOUT~
write_rp_data[76] => ~NO_FANOUT~
write_rp_data[77] => ~NO_FANOUT~
write_rp_data[78] => ~NO_FANOUT~
write_rp_data[79] => ~NO_FANOUT~
write_rp_data[80] => ~NO_FANOUT~
write_rp_data[81] => ~NO_FANOUT~
write_rp_data[82] => ~NO_FANOUT~
write_rp_data[83] => ~NO_FANOUT~
write_rp_data[84] => ~NO_FANOUT~
write_rp_data[85] => ~NO_FANOUT~
write_rp_data[86] => ~NO_FANOUT~
write_rp_data[87] => ~NO_FANOUT~
write_rp_data[88] => ~NO_FANOUT~
write_rp_data[89] => ~NO_FANOUT~
write_rp_data[90] => ~NO_FANOUT~
write_rp_data[91] => ~NO_FANOUT~
write_rp_data[92] => buser[0].DATAIN
write_rp_data[93] => ~NO_FANOUT~
write_rp_data[94] => ~NO_FANOUT~
write_rp_data[95] => ~NO_FANOUT~
write_rp_data[96] => ~NO_FANOUT~
write_rp_data[97] => ~NO_FANOUT~
write_rp_data[98] => ~NO_FANOUT~
write_rp_data[99] => ~NO_FANOUT~
write_rp_data[100] => ~NO_FANOUT~
write_rp_data[101] => ~NO_FANOUT~
write_rp_data[102] => ~NO_FANOUT~
write_rp_data[103] => ~NO_FANOUT~
write_rp_data[104] => ~NO_FANOUT~
write_rp_data[105] => bid[0].DATAIN
write_rp_data[106] => bid[1].DATAIN
write_rp_data[107] => bid[2].DATAIN
write_rp_data[108] => bid[3].DATAIN
write_rp_data[109] => bid[4].DATAIN
write_rp_data[110] => bid[5].DATAIN
write_rp_data[111] => bid[6].DATAIN
write_rp_data[112] => bid[7].DATAIN
write_rp_data[113] => bid[8].DATAIN
write_rp_data[114] => bid[9].DATAIN
write_rp_data[115] => bid[10].DATAIN
write_rp_data[116] => bid[11].DATAIN
write_rp_data[117] => ~NO_FANOUT~
write_rp_data[118] => ~NO_FANOUT~
write_rp_data[119] => ~NO_FANOUT~
write_rp_data[120] => ~NO_FANOUT~
write_rp_data[121] => ~NO_FANOUT~
write_rp_data[122] => ~NO_FANOUT~
write_rp_data[123] => ~NO_FANOUT~
write_rp_data[124] => bresp[0].DATAIN
write_rp_data[125] => bresp[1].DATAIN
write_rp_data[126] => ~NO_FANOUT~
write_rp_data[127] => ~NO_FANOUT~
write_rp_data[128] => ~NO_FANOUT~
write_rp_channel[0] => ~NO_FANOUT~
write_rp_channel[1] => ~NO_FANOUT~
write_rp_channel[2] => ~NO_FANOUT~
write_rp_channel[3] => ~NO_FANOUT~
write_rp_channel[4] => ~NO_FANOUT~
write_rp_channel[5] => ~NO_FANOUT~
write_rp_channel[6] => ~NO_FANOUT~
write_rp_channel[7] => ~NO_FANOUT~
write_rp_channel[8] => ~NO_FANOUT~
write_rp_channel[9] => ~NO_FANOUT~
write_rp_channel[10] => ~NO_FANOUT~
write_rp_channel[11] => ~NO_FANOUT~
write_rp_channel[12] => ~NO_FANOUT~
write_rp_channel[13] => ~NO_FANOUT~
write_rp_channel[14] => ~NO_FANOUT~
write_rp_channel[15] => ~NO_FANOUT~
write_rp_channel[16] => ~NO_FANOUT~
write_rp_channel[17] => ~NO_FANOUT~
write_rp_channel[18] => ~NO_FANOUT~
write_rp_channel[19] => ~NO_FANOUT~
write_rp_channel[20] => ~NO_FANOUT~
write_rp_channel[21] => ~NO_FANOUT~
write_rp_startofpacket => ~NO_FANOUT~
write_rp_endofpacket => ~NO_FANOUT~
write_rp_ready <= bready.DB_MAX_OUTPUT_PORT_TYPE
read_cp_valid <= arvalid.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[0] <= <GND>
read_cp_data[1] <= <GND>
read_cp_data[2] <= <GND>
read_cp_data[3] <= <GND>
read_cp_data[4] <= <GND>
read_cp_data[5] <= <GND>
read_cp_data[6] <= <GND>
read_cp_data[7] <= <GND>
read_cp_data[8] <= <GND>
read_cp_data[9] <= <GND>
read_cp_data[10] <= <GND>
read_cp_data[11] <= <GND>
read_cp_data[12] <= <GND>
read_cp_data[13] <= <GND>
read_cp_data[14] <= <GND>
read_cp_data[15] <= <GND>
read_cp_data[16] <= <GND>
read_cp_data[17] <= <GND>
read_cp_data[18] <= <GND>
read_cp_data[19] <= <GND>
read_cp_data[20] <= <GND>
read_cp_data[21] <= <GND>
read_cp_data[22] <= <GND>
read_cp_data[23] <= <GND>
read_cp_data[24] <= <GND>
read_cp_data[25] <= <GND>
read_cp_data[26] <= <GND>
read_cp_data[27] <= <GND>
read_cp_data[28] <= <GND>
read_cp_data[29] <= <GND>
read_cp_data[30] <= <GND>
read_cp_data[31] <= <GND>
read_cp_data[32] <= <VCC>
read_cp_data[33] <= <VCC>
read_cp_data[34] <= <VCC>
read_cp_data[35] <= <VCC>
read_cp_data[36] <= araddr[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[37] <= araddr[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[38] <= araddr[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[39] <= araddr[3].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[40] <= araddr[4].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[41] <= araddr[5].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[42] <= araddr[6].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[43] <= araddr[7].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[44] <= araddr[8].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[45] <= araddr[9].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[46] <= araddr[10].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[47] <= araddr[11].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[48] <= araddr[12].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[49] <= araddr[13].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[50] <= araddr[14].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[51] <= araddr[15].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[52] <= araddr[16].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[53] <= araddr[17].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[54] <= araddr[18].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[55] <= araddr[19].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[56] <= araddr[20].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[57] <= araddr[21].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[58] <= araddr[22].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[59] <= araddr[23].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[60] <= araddr[24].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[61] <= araddr[25].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[62] <= araddr[26].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[63] <= araddr[27].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[64] <= araddr[28].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[65] <= araddr[29].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[66] <= <VCC>
read_cp_data[67] <= <GND>
read_cp_data[68] <= <GND>
read_cp_data[69] <= <VCC>
read_cp_data[70] <= <GND>
read_cp_data[71] <= arlock[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[72] <= <GND>
read_cp_data[73] <= <GND>
read_cp_data[74] <= Add2.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[75] <= Add2.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[76] <= Add2.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[77] <= Add2.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[78] <= Add2.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[79] <= Selector13.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[80] <= Selector12.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[81] <= Selector11.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[82] <= Selector10.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[83] <= Selector9.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[84] <= Selector8.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[85] <= Selector7.DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[86] <= arsize[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[87] <= arsize[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[88] <= arsize[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[89] <= arburst[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[90] <= arburst[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[91] <= aruser[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[92] <= <GND>
read_cp_data[93] <= <GND>
read_cp_data[94] <= <GND>
read_cp_data[95] <= <GND>
read_cp_data[96] <= <GND>
read_cp_data[97] <= <GND>
read_cp_data[98] <= <GND>
read_cp_data[99] <= <GND>
read_cp_data[100] <= <GND>
read_cp_data[101] <= <GND>
read_cp_data[102] <= <GND>
read_cp_data[103] <= <GND>
read_cp_data[104] <= <GND>
read_cp_data[105] <= arid[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[106] <= arid[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[107] <= arid[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[108] <= arid[3].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[109] <= arid[4].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[110] <= arid[5].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[111] <= arid[6].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[112] <= arid[7].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[113] <= arid[8].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[114] <= arid[9].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[115] <= arid[10].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[116] <= arid[11].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[117] <= arprot[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[118] <= arprot[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[119] <= arprot[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[120] <= arcache[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[121] <= arcache[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[122] <= arcache[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[123] <= arcache[3].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[124] <= <GND>
read_cp_data[125] <= <GND>
read_cp_data[126] <= arsize[0].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[127] <= arsize[1].DB_MAX_OUTPUT_PORT_TYPE
read_cp_data[128] <= arsize[2].DB_MAX_OUTPUT_PORT_TYPE
read_cp_startofpacket <= <VCC>
read_cp_endofpacket <= <VCC>
read_cp_ready => arready.DATAIN
read_rp_valid => rvalid.DATAIN
read_rp_data[0] => rdata[0].DATAIN
read_rp_data[1] => rdata[1].DATAIN
read_rp_data[2] => rdata[2].DATAIN
read_rp_data[3] => rdata[3].DATAIN
read_rp_data[4] => rdata[4].DATAIN
read_rp_data[5] => rdata[5].DATAIN
read_rp_data[6] => rdata[6].DATAIN
read_rp_data[7] => rdata[7].DATAIN
read_rp_data[8] => rdata[8].DATAIN
read_rp_data[9] => rdata[9].DATAIN
read_rp_data[10] => rdata[10].DATAIN
read_rp_data[11] => rdata[11].DATAIN
read_rp_data[12] => rdata[12].DATAIN
read_rp_data[13] => rdata[13].DATAIN
read_rp_data[14] => rdata[14].DATAIN
read_rp_data[15] => rdata[15].DATAIN
read_rp_data[16] => rdata[16].DATAIN
read_rp_data[17] => rdata[17].DATAIN
read_rp_data[18] => rdata[18].DATAIN
read_rp_data[19] => rdata[19].DATAIN
read_rp_data[20] => rdata[20].DATAIN
read_rp_data[21] => rdata[21].DATAIN
read_rp_data[22] => rdata[22].DATAIN
read_rp_data[23] => rdata[23].DATAIN
read_rp_data[24] => rdata[24].DATAIN
read_rp_data[25] => rdata[25].DATAIN
read_rp_data[26] => rdata[26].DATAIN
read_rp_data[27] => rdata[27].DATAIN
read_rp_data[28] => rdata[28].DATAIN
read_rp_data[29] => rdata[29].DATAIN
read_rp_data[30] => rdata[30].DATAIN
read_rp_data[31] => rdata[31].DATAIN
read_rp_data[32] => ~NO_FANOUT~
read_rp_data[33] => ~NO_FANOUT~
read_rp_data[34] => ~NO_FANOUT~
read_rp_data[35] => ~NO_FANOUT~
read_rp_data[36] => ~NO_FANOUT~
read_rp_data[37] => ~NO_FANOUT~
read_rp_data[38] => ~NO_FANOUT~
read_rp_data[39] => ~NO_FANOUT~
read_rp_data[40] => ~NO_FANOUT~
read_rp_data[41] => ~NO_FANOUT~
read_rp_data[42] => ~NO_FANOUT~
read_rp_data[43] => ~NO_FANOUT~
read_rp_data[44] => ~NO_FANOUT~
read_rp_data[45] => ~NO_FANOUT~
read_rp_data[46] => ~NO_FANOUT~
read_rp_data[47] => ~NO_FANOUT~
read_rp_data[48] => ~NO_FANOUT~
read_rp_data[49] => ~NO_FANOUT~
read_rp_data[50] => ~NO_FANOUT~
read_rp_data[51] => ~NO_FANOUT~
read_rp_data[52] => ~NO_FANOUT~
read_rp_data[53] => ~NO_FANOUT~
read_rp_data[54] => ~NO_FANOUT~
read_rp_data[55] => ~NO_FANOUT~
read_rp_data[56] => ~NO_FANOUT~
read_rp_data[57] => ~NO_FANOUT~
read_rp_data[58] => ~NO_FANOUT~
read_rp_data[59] => ~NO_FANOUT~
read_rp_data[60] => ~NO_FANOUT~
read_rp_data[61] => ~NO_FANOUT~
read_rp_data[62] => ~NO_FANOUT~
read_rp_data[63] => ~NO_FANOUT~
read_rp_data[64] => ~NO_FANOUT~
read_rp_data[65] => ~NO_FANOUT~
read_rp_data[66] => ~NO_FANOUT~
read_rp_data[67] => ~NO_FANOUT~
read_rp_data[68] => ~NO_FANOUT~
read_rp_data[69] => ~NO_FANOUT~
read_rp_data[70] => ~NO_FANOUT~
read_rp_data[71] => ~NO_FANOUT~
read_rp_data[72] => ~NO_FANOUT~
read_rp_data[73] => ~NO_FANOUT~
read_rp_data[74] => ~NO_FANOUT~
read_rp_data[75] => ~NO_FANOUT~
read_rp_data[76] => ~NO_FANOUT~
read_rp_data[77] => ~NO_FANOUT~
read_rp_data[78] => ~NO_FANOUT~
read_rp_data[79] => ~NO_FANOUT~
read_rp_data[80] => ~NO_FANOUT~
read_rp_data[81] => ~NO_FANOUT~
read_rp_data[82] => ~NO_FANOUT~
read_rp_data[83] => ~NO_FANOUT~
read_rp_data[84] => ~NO_FANOUT~
read_rp_data[85] => ~NO_FANOUT~
read_rp_data[86] => ~NO_FANOUT~
read_rp_data[87] => ~NO_FANOUT~
read_rp_data[88] => ~NO_FANOUT~
read_rp_data[89] => ~NO_FANOUT~
read_rp_data[90] => ~NO_FANOUT~
read_rp_data[91] => ~NO_FANOUT~
read_rp_data[92] => ruser[0].DATAIN
read_rp_data[93] => ~NO_FANOUT~
read_rp_data[94] => ~NO_FANOUT~
read_rp_data[95] => ~NO_FANOUT~
read_rp_data[96] => ~NO_FANOUT~
read_rp_data[97] => ~NO_FANOUT~
read_rp_data[98] => ~NO_FANOUT~
read_rp_data[99] => ~NO_FANOUT~
read_rp_data[100] => ~NO_FANOUT~
read_rp_data[101] => ~NO_FANOUT~
read_rp_data[102] => ~NO_FANOUT~
read_rp_data[103] => ~NO_FANOUT~
read_rp_data[104] => ~NO_FANOUT~
read_rp_data[105] => rid[0].DATAIN
read_rp_data[106] => rid[1].DATAIN
read_rp_data[107] => rid[2].DATAIN
read_rp_data[108] => rid[3].DATAIN
read_rp_data[109] => rid[4].DATAIN
read_rp_data[110] => rid[5].DATAIN
read_rp_data[111] => rid[6].DATAIN
read_rp_data[112] => rid[7].DATAIN
read_rp_data[113] => rid[8].DATAIN
read_rp_data[114] => rid[9].DATAIN
read_rp_data[115] => rid[10].DATAIN
read_rp_data[116] => rid[11].DATAIN
read_rp_data[117] => ~NO_FANOUT~
read_rp_data[118] => ~NO_FANOUT~
read_rp_data[119] => ~NO_FANOUT~
read_rp_data[120] => ~NO_FANOUT~
read_rp_data[121] => ~NO_FANOUT~
read_rp_data[122] => ~NO_FANOUT~
read_rp_data[123] => ~NO_FANOUT~
read_rp_data[124] => rresp[0].DATAIN
read_rp_data[125] => rresp[1].DATAIN
read_rp_data[126] => ~NO_FANOUT~
read_rp_data[127] => ~NO_FANOUT~
read_rp_data[128] => ~NO_FANOUT~
read_rp_channel[0] => ~NO_FANOUT~
read_rp_channel[1] => ~NO_FANOUT~
read_rp_channel[2] => ~NO_FANOUT~
read_rp_channel[3] => ~NO_FANOUT~
read_rp_channel[4] => ~NO_FANOUT~
read_rp_channel[5] => ~NO_FANOUT~
read_rp_channel[6] => ~NO_FANOUT~
read_rp_channel[7] => ~NO_FANOUT~
read_rp_channel[8] => ~NO_FANOUT~
read_rp_channel[9] => ~NO_FANOUT~
read_rp_channel[10] => ~NO_FANOUT~
read_rp_channel[11] => ~NO_FANOUT~
read_rp_channel[12] => ~NO_FANOUT~
read_rp_channel[13] => ~NO_FANOUT~
read_rp_channel[14] => ~NO_FANOUT~
read_rp_channel[15] => ~NO_FANOUT~
read_rp_channel[16] => ~NO_FANOUT~
read_rp_channel[17] => ~NO_FANOUT~
read_rp_channel[18] => ~NO_FANOUT~
read_rp_channel[19] => ~NO_FANOUT~
read_rp_channel[20] => ~NO_FANOUT~
read_rp_channel[21] => ~NO_FANOUT~
read_rp_startofpacket => ~NO_FANOUT~
read_rp_endofpacket => rlast.DATAIN
read_rp_ready <= rready.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_axi_master_ni:hps_0_h2f_axi_master_agent|altera_merlin_address_alignment:align_address_to_size
clk => address_burst[0].CLK
clk => address_burst[1].CLK
clk => address_burst[2].CLK
clk => address_burst[3].CLK
clk => address_burst[4].CLK
clk => address_burst[5].CLK
clk => address_burst[6].CLK
clk => address_burst[7].CLK
clk => address_burst[8].CLK
clk => address_burst[9].CLK
clk => address_burst[10].CLK
clk => address_burst[11].CLK
clk => address_burst[12].CLK
clk => address_burst[13].CLK
clk => address_burst[14].CLK
clk => address_burst[15].CLK
clk => address_burst[16].CLK
clk => address_burst[17].CLK
clk => address_burst[18].CLK
clk => address_burst[19].CLK
clk => address_burst[20].CLK
clk => address_burst[21].CLK
clk => address_burst[22].CLK
clk => address_burst[23].CLK
clk => address_burst[24].CLK
clk => address_burst[25].CLK
clk => address_burst[26].CLK
clk => address_burst[27].CLK
clk => address_burst[28].CLK
clk => address_burst[29].CLK
reset => address_burst[0].ACLR
reset => address_burst[1].ACLR
reset => address_burst[2].ACLR
reset => address_burst[3].ACLR
reset => address_burst[4].ACLR
reset => address_burst[5].ACLR
reset => address_burst[6].ACLR
reset => address_burst[7].ACLR
reset => address_burst[8].ACLR
reset => address_burst[9].ACLR
reset => address_burst[10].ACLR
reset => address_burst[11].ACLR
reset => address_burst[12].ACLR
reset => address_burst[13].ACLR
reset => address_burst[14].ACLR
reset => address_burst[15].ACLR
reset => address_burst[16].ACLR
reset => address_burst[17].ACLR
reset => address_burst[18].ACLR
reset => address_burst[19].ACLR
reset => address_burst[20].ACLR
reset => address_burst[21].ACLR
reset => address_burst[22].ACLR
reset => address_burst[23].ACLR
reset => address_burst[24].ACLR
reset => address_burst[25].ACLR
reset => address_burst[26].ACLR
reset => address_burst[27].ACLR
reset => address_burst[28].ACLR
reset => address_burst[29].ACLR
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[0] => Decoder0.IN2
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[1] => Decoder0.IN1
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[2] => Decoder0.IN0
in_data[3] => aligned_address_bits[0].IN1
in_data[3] => out_aligned_address_burst.DATAB
in_data[4] => aligned_address_bits[1].IN1
in_data[4] => out_aligned_address_burst.DATAB
in_data[5] => base_address[2].DATAB
in_data[5] => out_aligned_address_burst.DATAB
in_data[6] => base_address[3].DATAB
in_data[6] => out_aligned_address_burst.DATAB
in_data[7] => base_address[4].DATAB
in_data[7] => out_aligned_address_burst.DATAB
in_data[8] => base_address[5].DATAB
in_data[8] => out_aligned_address_burst.DATAB
in_data[9] => base_address[6].DATAB
in_data[9] => out_aligned_address_burst.DATAB
in_data[10] => base_address[7].DATAB
in_data[10] => out_aligned_address_burst.DATAB
in_data[11] => base_address[8].DATAB
in_data[11] => out_aligned_address_burst.DATAB
in_data[12] => base_address[9].DATAB
in_data[12] => out_aligned_address_burst.DATAB
in_data[13] => base_address[10].DATAB
in_data[13] => out_aligned_address_burst.DATAB
in_data[14] => base_address[11].DATAB
in_data[14] => out_aligned_address_burst.DATAB
in_data[15] => base_address[12].DATAB
in_data[15] => out_aligned_address_burst.DATAB
in_data[16] => base_address[13].DATAB
in_data[16] => out_aligned_address_burst.DATAB
in_data[17] => base_address[14].DATAB
in_data[17] => out_aligned_address_burst.DATAB
in_data[18] => base_address[15].DATAB
in_data[18] => out_aligned_address_burst.DATAB
in_data[19] => base_address[16].DATAB
in_data[19] => out_aligned_address_burst.DATAB
in_data[20] => base_address[17].DATAB
in_data[20] => out_aligned_address_burst.DATAB
in_data[21] => base_address[18].DATAB
in_data[21] => out_aligned_address_burst.DATAB
in_data[22] => base_address[19].DATAB
in_data[22] => out_aligned_address_burst.DATAB
in_data[23] => base_address[20].DATAB
in_data[23] => out_aligned_address_burst.DATAB
in_data[24] => base_address[21].DATAB
in_data[24] => out_aligned_address_burst.DATAB
in_data[25] => base_address[22].DATAB
in_data[25] => out_aligned_address_burst.DATAB
in_data[26] => base_address[23].DATAB
in_data[26] => out_aligned_address_burst.DATAB
in_data[27] => base_address[24].DATAB
in_data[27] => out_aligned_address_burst.DATAB
in_data[28] => base_address[25].DATAB
in_data[28] => out_aligned_address_burst.DATAB
in_data[29] => base_address[26].DATAB
in_data[29] => out_aligned_address_burst.DATAB
in_data[30] => base_address[27].DATAB
in_data[30] => out_aligned_address_burst.DATAB
in_data[31] => base_address[28].DATAB
in_data[31] => out_aligned_address_burst.DATAB
in_data[32] => base_address[29].DATAB
in_data[32] => out_aligned_address_burst.DATAB
in_data[33] => Equal0.IN3
in_data[33] => Equal1.IN3
in_data[33] => Equal2.IN3
in_data[34] => Equal0.IN2
in_data[34] => Equal1.IN2
in_data[34] => Equal2.IN2
in_data[35] => increment_address.IN1
in_data[35] => burst_address_high[0].IN1
in_data[36] => increment_address.IN1
in_data[36] => burst_address_high[1].IN1
in_data[37] => increment_address.IN1
in_data[37] => burst_address_high[2].IN1
in_data[38] => increment_address.IN1
in_data[38] => burst_address_high[3].IN1
in_data[39] => increment_address.IN1
in_data[39] => burst_address_high[4].IN1
in_data[40] => increment_address.IN1
in_data[40] => burst_address_high[5].IN1
in_valid => always2.IN0
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => out_aligned_address_burst.OUTPUTSELECT
in_sop => base_address[29].OUTPUTSELECT
in_sop => base_address[28].OUTPUTSELECT
in_sop => base_address[27].OUTPUTSELECT
in_sop => base_address[26].OUTPUTSELECT
in_sop => base_address[25].OUTPUTSELECT
in_sop => base_address[24].OUTPUTSELECT
in_sop => base_address[23].OUTPUTSELECT
in_sop => base_address[22].OUTPUTSELECT
in_sop => base_address[21].OUTPUTSELECT
in_sop => base_address[20].OUTPUTSELECT
in_sop => base_address[19].OUTPUTSELECT
in_sop => base_address[18].OUTPUTSELECT
in_sop => base_address[17].OUTPUTSELECT
in_sop => base_address[16].OUTPUTSELECT
in_sop => base_address[15].OUTPUTSELECT
in_sop => base_address[14].OUTPUTSELECT
in_sop => base_address[13].OUTPUTSELECT
in_sop => base_address[12].OUTPUTSELECT
in_sop => base_address[11].OUTPUTSELECT
in_sop => base_address[10].OUTPUTSELECT
in_sop => base_address[9].OUTPUTSELECT
in_sop => base_address[8].OUTPUTSELECT
in_sop => base_address[7].OUTPUTSELECT
in_sop => base_address[6].OUTPUTSELECT
in_sop => base_address[5].OUTPUTSELECT
in_sop => base_address[4].OUTPUTSELECT
in_sop => base_address[3].OUTPUTSELECT
in_sop => base_address[2].OUTPUTSELECT
in_sop => base_address[1].OUTPUTSELECT
in_sop => base_address[0].OUTPUTSELECT
in_eop => ~NO_FANOUT~
out_data[0] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= out_aligned_address_burst.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => always2.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:led_pio_test_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:led_pio_test_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:led_pio_test_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:led_pio_test_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_rx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_rx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_rx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_rx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_ready_rx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_ready_rx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_ready_rx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_ready_rx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_flag_rx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_flag_rx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_flag_rx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_flag_rx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_read_en_rx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_read_en_rx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_read_en_rx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_read_en_rx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_full_rx_status_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_full_rx_status_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_full_rx_status_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_full_rx_status_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_empty_rx_status_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_empty_rx_status_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_empty_rx_status_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_empty_rx_status_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:link_start_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:link_start_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:link_start_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:link_start_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:auto_start_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:auto_start_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:auto_start_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:auto_start_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:link_disable_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:link_disable_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:link_disable_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:link_disable_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:write_data_fifo_tx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:write_data_fifo_tx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:write_data_fifo_tx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:write_data_fifo_tx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:write_en_tx_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:write_en_tx_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:write_en_tx_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:write_en_tx_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_full_tx_status_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_full_tx_status_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_full_tx_status_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_full_tx_status_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_empty_tx_status_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fifo_empty_tx_status_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_empty_tx_status_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fifo_empty_tx_status_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_data_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_data_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_data_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_data_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_enable_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_enable_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_enable_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_enable_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_ready_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:timecode_tx_ready_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_ready_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:timecode_tx_ready_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_info_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:data_info_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_info_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:data_info_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:clock_sel_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:clock_sel_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:clock_sel_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:clock_sel_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fsm_info_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:fsm_info_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fsm_info_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:fsm_info_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:counter_tx_fifo_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:counter_tx_fifo_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:counter_tx_fifo_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:counter_tx_fifo_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:counter_rx_fifo_s1_agent
clk => clk.IN1
reset => reset.IN1
m0_address[0] <= <GND>
m0_address[1] <= <GND>
m0_address[2] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
m0_address[3] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
m0_address[4] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
m0_address[5] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
m0_address[6] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
m0_address[7] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
m0_address[8] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
m0_address[9] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
m0_address[10] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
m0_address[11] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
m0_address[12] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
m0_address[13] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
m0_address[14] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
m0_address[15] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
m0_address[16] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
m0_address[17] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
m0_address[18] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
m0_address[19] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
m0_address[20] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
m0_address[21] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
m0_address[22] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
m0_address[23] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
m0_address[24] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
m0_address[25] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
m0_address[26] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
m0_address[27] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
m0_address[28] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
m0_address[29] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[0] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[1] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_burstcount[2] <= m0_burstcount.DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[0] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[1] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[2] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
m0_byteenable[3] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
m0_read <= m0_read.DB_MAX_OUTPUT_PORT_TYPE
m0_readdata[0] => rdata_fifo_src_data[0].DATAIN
m0_readdata[1] => rdata_fifo_src_data[1].DATAIN
m0_readdata[2] => rdata_fifo_src_data[2].DATAIN
m0_readdata[3] => rdata_fifo_src_data[3].DATAIN
m0_readdata[4] => rdata_fifo_src_data[4].DATAIN
m0_readdata[5] => rdata_fifo_src_data[5].DATAIN
m0_readdata[6] => rdata_fifo_src_data[6].DATAIN
m0_readdata[7] => rdata_fifo_src_data[7].DATAIN
m0_readdata[8] => rdata_fifo_src_data[8].DATAIN
m0_readdata[9] => rdata_fifo_src_data[9].DATAIN
m0_readdata[10] => rdata_fifo_src_data[10].DATAIN
m0_readdata[11] => rdata_fifo_src_data[11].DATAIN
m0_readdata[12] => rdata_fifo_src_data[12].DATAIN
m0_readdata[13] => rdata_fifo_src_data[13].DATAIN
m0_readdata[14] => rdata_fifo_src_data[14].DATAIN
m0_readdata[15] => rdata_fifo_src_data[15].DATAIN
m0_readdata[16] => rdata_fifo_src_data[16].DATAIN
m0_readdata[17] => rdata_fifo_src_data[17].DATAIN
m0_readdata[18] => rdata_fifo_src_data[18].DATAIN
m0_readdata[19] => rdata_fifo_src_data[19].DATAIN
m0_readdata[20] => rdata_fifo_src_data[20].DATAIN
m0_readdata[21] => rdata_fifo_src_data[21].DATAIN
m0_readdata[22] => rdata_fifo_src_data[22].DATAIN
m0_readdata[23] => rdata_fifo_src_data[23].DATAIN
m0_readdata[24] => rdata_fifo_src_data[24].DATAIN
m0_readdata[25] => rdata_fifo_src_data[25].DATAIN
m0_readdata[26] => rdata_fifo_src_data[26].DATAIN
m0_readdata[27] => rdata_fifo_src_data[27].DATAIN
m0_readdata[28] => rdata_fifo_src_data[28].DATAIN
m0_readdata[29] => rdata_fifo_src_data[29].DATAIN
m0_readdata[30] => rdata_fifo_src_data[30].DATAIN
m0_readdata[31] => rdata_fifo_src_data[31].DATAIN
m0_waitrequest => cp_ready.IN1
m0_write <= m0_write.DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[0] <= cp_data[0].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[1] <= cp_data[1].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[2] <= cp_data[2].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[3] <= cp_data[3].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[4] <= cp_data[4].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[5] <= cp_data[5].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[6] <= cp_data[6].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[7] <= cp_data[7].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[8] <= cp_data[8].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[9] <= cp_data[9].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[10] <= cp_data[10].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[11] <= cp_data[11].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[12] <= cp_data[12].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[13] <= cp_data[13].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[14] <= cp_data[14].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[15] <= cp_data[15].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[16] <= cp_data[16].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[17] <= cp_data[17].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[18] <= cp_data[18].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[19] <= cp_data[19].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[20] <= cp_data[20].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[21] <= cp_data[21].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[22] <= cp_data[22].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[23] <= cp_data[23].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[24] <= cp_data[24].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[25] <= cp_data[25].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[26] <= cp_data[26].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[27] <= cp_data[27].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[28] <= cp_data[28].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[29] <= cp_data[29].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[30] <= cp_data[30].DB_MAX_OUTPUT_PORT_TYPE
m0_writedata[31] <= cp_data[31].DB_MAX_OUTPUT_PORT_TYPE
m0_readdatavalid => rdata_fifo_src_valid.IN0
m0_debugaccess <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
m0_lock <= m0_lock.DB_MAX_OUTPUT_PORT_TYPE
m0_response[0] => rdata_fifo_src_data[32].DATAIN
m0_response[1] => rdata_fifo_src_data[33].DATAIN
m0_writeresponsevalid => rdata_fifo_src_valid.IN1
rf_source_data[0] <= <GND>
rf_source_data[1] <= <GND>
rf_source_data[2] <= <GND>
rf_source_data[3] <= <GND>
rf_source_data[4] <= <GND>
rf_source_data[5] <= <GND>
rf_source_data[6] <= <GND>
rf_source_data[7] <= <GND>
rf_source_data[8] <= <GND>
rf_source_data[9] <= <GND>
rf_source_data[10] <= <GND>
rf_source_data[11] <= <GND>
rf_source_data[12] <= <GND>
rf_source_data[13] <= <GND>
rf_source_data[14] <= <GND>
rf_source_data[15] <= <GND>
rf_source_data[16] <= <GND>
rf_source_data[17] <= <GND>
rf_source_data[18] <= <GND>
rf_source_data[19] <= <GND>
rf_source_data[20] <= <GND>
rf_source_data[21] <= <GND>
rf_source_data[22] <= <GND>
rf_source_data[23] <= <GND>
rf_source_data[24] <= <GND>
rf_source_data[25] <= <GND>
rf_source_data[26] <= <GND>
rf_source_data[27] <= <GND>
rf_source_data[28] <= <GND>
rf_source_data[29] <= <GND>
rf_source_data[30] <= <GND>
rf_source_data[31] <= <GND>
rf_source_data[32] <= cp_data[32].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[33] <= cp_data[33].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[34] <= cp_data[34].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[35] <= cp_data[35].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[36] <= cp_data[36].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[37] <= cp_data[37].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[38] <= cp_data[38].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[39] <= cp_data[39].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[40] <= cp_data[40].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[41] <= cp_data[41].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[42] <= cp_data[42].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[43] <= cp_data[43].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[44] <= cp_data[44].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[45] <= cp_data[45].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[46] <= cp_data[46].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[47] <= cp_data[47].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[48] <= cp_data[48].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[49] <= cp_data[49].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[50] <= cp_data[50].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[51] <= cp_data[51].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[52] <= cp_data[52].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[53] <= cp_data[53].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[54] <= cp_data[54].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[55] <= cp_data[55].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[56] <= cp_data[56].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[57] <= cp_data[57].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[58] <= cp_data[58].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[59] <= cp_data[59].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[60] <= cp_data[60].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[61] <= cp_data[61].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[62] <= cp_data[62].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[63] <= cp_data[63].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[64] <= cp_data[64].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[65] <= cp_data[65].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[66] <= cp_data[66].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[67] <= cp_data[67].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[68] <= cp_data[68].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[69] <= cp_data[69].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[70] <= cp_data[70].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[71] <= cp_data[71].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[72] <= cp_data[72].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[73] <= cp_data[73].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[74] <= cp_data[74].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[75] <= cp_data[75].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[76] <= cp_data[76].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[77] <= cp_data[77].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[78] <= cp_data[78].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[79] <= cp_data[79].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[80] <= cp_data[80].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[81] <= cp_data[81].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[82] <= cp_data[82].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[83] <= cp_data[83].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[84] <= cp_data[84].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[85] <= cp_data[85].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[86] <= cp_data[86].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[87] <= cp_data[87].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[88] <= cp_data[88].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[89] <= cp_data[89].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[90] <= cp_data[90].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[91] <= cp_data[91].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[92] <= cp_data[92].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[93] <= cp_data[93].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[94] <= cp_data[94].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[95] <= cp_data[95].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[96] <= cp_data[96].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[97] <= cp_data[97].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[98] <= cp_data[98].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[99] <= cp_data[99].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[100] <= cp_data[100].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[101] <= cp_data[101].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[102] <= cp_data[102].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[103] <= cp_data[103].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[104] <= cp_data[104].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[105] <= cp_data[105].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[106] <= cp_data[106].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[107] <= cp_data[107].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[108] <= cp_data[108].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[109] <= cp_data[109].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[110] <= cp_data[110].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[111] <= cp_data[111].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[112] <= cp_data[112].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[113] <= cp_data[113].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[114] <= cp_data[114].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[115] <= cp_data[115].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[116] <= cp_data[116].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[117] <= cp_data[117].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[118] <= <GND>
rf_source_data[119] <= <GND>
rf_source_data[120] <= cp_data[120].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[121] <= cp_data[121].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[122] <= cp_data[122].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[123] <= cp_data[123].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[124] <= cp_data[124].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[125] <= cp_data[125].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[126] <= cp_data[126].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[127] <= cp_data[127].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[128] <= cp_data[128].DB_MAX_OUTPUT_PORT_TYPE
rf_source_data[129] <= needs_response_synthesis.DB_MAX_OUTPUT_PORT_TYPE
rf_source_valid <= rf_source_valid.DB_MAX_OUTPUT_PORT_TYPE
rf_source_startofpacket <= cp_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_endofpacket <= cp_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rf_source_ready => cp_ready.IN1
rf_source_ready => m0_read.IN1
rf_source_ready => m0_write.IN1
rf_source_ready => m0_lock.IN1
rf_source_ready => rf_source_valid.IN1
rf_sink_data[0] => ~NO_FANOUT~
rf_sink_data[1] => ~NO_FANOUT~
rf_sink_data[2] => ~NO_FANOUT~
rf_sink_data[3] => ~NO_FANOUT~
rf_sink_data[4] => ~NO_FANOUT~
rf_sink_data[5] => ~NO_FANOUT~
rf_sink_data[6] => ~NO_FANOUT~
rf_sink_data[7] => ~NO_FANOUT~
rf_sink_data[8] => ~NO_FANOUT~
rf_sink_data[9] => ~NO_FANOUT~
rf_sink_data[10] => ~NO_FANOUT~
rf_sink_data[11] => ~NO_FANOUT~
rf_sink_data[12] => ~NO_FANOUT~
rf_sink_data[13] => ~NO_FANOUT~
rf_sink_data[14] => ~NO_FANOUT~
rf_sink_data[15] => ~NO_FANOUT~
rf_sink_data[16] => ~NO_FANOUT~
rf_sink_data[17] => ~NO_FANOUT~
rf_sink_data[18] => ~NO_FANOUT~
rf_sink_data[19] => ~NO_FANOUT~
rf_sink_data[20] => ~NO_FANOUT~
rf_sink_data[21] => ~NO_FANOUT~
rf_sink_data[22] => ~NO_FANOUT~
rf_sink_data[23] => ~NO_FANOUT~
rf_sink_data[24] => ~NO_FANOUT~
rf_sink_data[25] => ~NO_FANOUT~
rf_sink_data[26] => ~NO_FANOUT~
rf_sink_data[27] => ~NO_FANOUT~
rf_sink_data[28] => ~NO_FANOUT~
rf_sink_data[29] => ~NO_FANOUT~
rf_sink_data[30] => ~NO_FANOUT~
rf_sink_data[31] => ~NO_FANOUT~
rf_sink_data[32] => rp_data[32].DATAIN
rf_sink_data[33] => rp_data[33].DATAIN
rf_sink_data[34] => rp_data[34].DATAIN
rf_sink_data[35] => rp_data[35].DATAIN
rf_sink_data[36] => rf_sink_addr[0].IN1
rf_sink_data[37] => rf_sink_addr[1].IN1
rf_sink_data[38] => rf_sink_addr[2].IN1
rf_sink_data[39] => rf_sink_addr[3].IN1
rf_sink_data[40] => rf_sink_addr[4].IN1
rf_sink_data[41] => rf_sink_addr[5].IN1
rf_sink_data[42] => rf_sink_addr[6].IN1
rf_sink_data[43] => rf_sink_addr[7].IN1
rf_sink_data[44] => rf_sink_addr[8].IN1
rf_sink_data[45] => rf_sink_addr[9].IN1
rf_sink_data[46] => rf_sink_addr[10].IN1
rf_sink_data[47] => rf_sink_addr[11].IN1
rf_sink_data[48] => rf_sink_addr[12].IN1
rf_sink_data[49] => rf_sink_addr[13].IN1
rf_sink_data[50] => rf_sink_addr[14].IN1
rf_sink_data[51] => rf_sink_addr[15].IN1
rf_sink_data[52] => rf_sink_addr[16].IN1
rf_sink_data[53] => rf_sink_addr[17].IN1
rf_sink_data[54] => rf_sink_addr[18].IN1
rf_sink_data[55] => rf_sink_addr[19].IN1
rf_sink_data[56] => rf_sink_addr[20].IN1
rf_sink_data[57] => rf_sink_addr[21].IN1
rf_sink_data[58] => rf_sink_addr[22].IN1
rf_sink_data[59] => rf_sink_addr[23].IN1
rf_sink_data[60] => rf_sink_addr[24].IN1
rf_sink_data[61] => rf_sink_addr[25].IN1
rf_sink_data[62] => rf_sink_addr[26].IN1
rf_sink_data[63] => rf_sink_addr[27].IN1
rf_sink_data[64] => rf_sink_addr[28].IN1
rf_sink_data[65] => rf_sink_addr[29].IN1
rf_sink_data[66] => rf_sink_compressed.IN1
rf_sink_data[67] => rp_data[67].DATAIN
rf_sink_data[68] => comb.OUTPUTSELECT
rf_sink_data[68] => rp_data[68].DATAIN
rf_sink_data[69] => rp_data.IN0
rf_sink_data[70] => rp_data[70].DATAIN
rf_sink_data[71] => rp_data[71].DATAIN
rf_sink_data[72] => rf_sink_byte_cnt[0].IN1
rf_sink_data[73] => rf_sink_byte_cnt[1].IN1
rf_sink_data[74] => rf_sink_byte_cnt[2].IN1
rf_sink_data[75] => rf_sink_byte_cnt[3].IN1
rf_sink_data[76] => rf_sink_byte_cnt[4].IN1
rf_sink_data[77] => rf_sink_byte_cnt[5].IN1
rf_sink_data[78] => rf_sink_byte_cnt[6].IN1
rf_sink_data[79] => rf_sink_burstwrap[0].IN1
rf_sink_data[80] => rf_sink_burstwrap[1].IN1
rf_sink_data[81] => rf_sink_burstwrap[2].IN1
rf_sink_data[82] => rf_sink_burstwrap[3].IN1
rf_sink_data[83] => rf_sink_burstwrap[4].IN1
rf_sink_data[84] => rf_sink_burstwrap[5].IN1
rf_sink_data[85] => rf_sink_burstwrap[6].IN1
rf_sink_data[86] => rf_sink_burstsize[0].IN1
rf_sink_data[87] => rf_sink_burstsize[1].IN1
rf_sink_data[88] => rf_sink_burstsize[2].IN1
rf_sink_data[89] => rp_data[89].DATAIN
rf_sink_data[90] => rp_data[90].DATAIN
rf_sink_data[91] => rp_data[91].DATAIN
rf_sink_data[92] => rp_data[92].DATAIN
rf_sink_data[93] => rp_data[93].DATAIN
rf_sink_data[94] => rp_data[94].DATAIN
rf_sink_data[95] => rp_data[100].DATAIN
rf_sink_data[96] => rp_data[101].DATAIN
rf_sink_data[97] => rp_data[102].DATAIN
rf_sink_data[98] => rp_data[103].DATAIN
rf_sink_data[99] => rp_data[104].DATAIN
rf_sink_data[100] => rp_data[95].DATAIN
rf_sink_data[101] => rp_data[96].DATAIN
rf_sink_data[102] => rp_data[97].DATAIN
rf_sink_data[103] => rp_data[98].DATAIN
rf_sink_data[104] => rp_data[99].DATAIN
rf_sink_data[105] => rp_data[105].DATAIN
rf_sink_data[106] => rp_data[106].DATAIN
rf_sink_data[107] => rp_data[107].DATAIN
rf_sink_data[108] => rp_data[108].DATAIN
rf_sink_data[109] => rp_data[109].DATAIN
rf_sink_data[110] => rp_data[110].DATAIN
rf_sink_data[111] => rp_data[111].DATAIN
rf_sink_data[112] => rp_data[112].DATAIN
rf_sink_data[113] => rp_data[113].DATAIN
rf_sink_data[114] => rp_data[114].DATAIN
rf_sink_data[115] => rp_data[115].DATAIN
rf_sink_data[116] => rp_data[116].DATAIN
rf_sink_data[117] => rp_data[117].DATAIN
rf_sink_data[118] => rp_data[118].DATAIN
rf_sink_data[119] => rp_data[119].DATAIN
rf_sink_data[120] => rp_data[120].DATAIN
rf_sink_data[121] => rp_data[121].DATAIN
rf_sink_data[122] => rp_data[122].DATAIN
rf_sink_data[123] => rp_data[123].DATAIN
rf_sink_data[124] => ~NO_FANOUT~
rf_sink_data[125] => ~NO_FANOUT~
rf_sink_data[126] => rp_data[126].DATAIN
rf_sink_data[127] => rp_data[127].DATAIN
rf_sink_data[128] => rp_data[128].DATAIN
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => current_response.OUTPUTSELECT
rf_sink_data[129] => rdata_fifo_sink_ready.IN0
rf_sink_data[129] => comb.IN0
rf_sink_valid => rdata_fifo_sink_ready.IN1
rf_sink_valid => comb.IN1
rf_sink_startofpacket => comb.DATAA
rf_sink_endofpacket => rf_sink_endofpacket.IN1
rf_sink_ready <= altera_merlin_burst_uncompressor:uncompressor.sink_ready
rdata_fifo_src_data[0] <= m0_readdata[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[1] <= m0_readdata[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[2] <= m0_readdata[2].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[3] <= m0_readdata[3].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[4] <= m0_readdata[4].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[5] <= m0_readdata[5].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[6] <= m0_readdata[6].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[7] <= m0_readdata[7].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[8] <= m0_readdata[8].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[9] <= m0_readdata[9].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[10] <= m0_readdata[10].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[11] <= m0_readdata[11].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[12] <= m0_readdata[12].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[13] <= m0_readdata[13].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[14] <= m0_readdata[14].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[15] <= m0_readdata[15].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[16] <= m0_readdata[16].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[17] <= m0_readdata[17].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[18] <= m0_readdata[18].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[19] <= m0_readdata[19].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[20] <= m0_readdata[20].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[21] <= m0_readdata[21].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[22] <= m0_readdata[22].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[23] <= m0_readdata[23].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[24] <= m0_readdata[24].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[25] <= m0_readdata[25].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[26] <= m0_readdata[26].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[27] <= m0_readdata[27].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[28] <= m0_readdata[28].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[29] <= m0_readdata[29].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[30] <= m0_readdata[30].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[31] <= m0_readdata[31].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[32] <= m0_response[0].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_data[33] <= m0_response[1].DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_valid <= rdata_fifo_src_valid.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_src_ready => ~NO_FANOUT~
rdata_fifo_sink_data[0] => rp_data[0].DATAIN
rdata_fifo_sink_data[1] => rp_data[1].DATAIN
rdata_fifo_sink_data[2] => rp_data[2].DATAIN
rdata_fifo_sink_data[3] => rp_data[3].DATAIN
rdata_fifo_sink_data[4] => rp_data[4].DATAIN
rdata_fifo_sink_data[5] => rp_data[5].DATAIN
rdata_fifo_sink_data[6] => rp_data[6].DATAIN
rdata_fifo_sink_data[7] => rp_data[7].DATAIN
rdata_fifo_sink_data[8] => rp_data[8].DATAIN
rdata_fifo_sink_data[9] => rp_data[9].DATAIN
rdata_fifo_sink_data[10] => rp_data[10].DATAIN
rdata_fifo_sink_data[11] => rp_data[11].DATAIN
rdata_fifo_sink_data[12] => rp_data[12].DATAIN
rdata_fifo_sink_data[13] => rp_data[13].DATAIN
rdata_fifo_sink_data[14] => rp_data[14].DATAIN
rdata_fifo_sink_data[15] => rp_data[15].DATAIN
rdata_fifo_sink_data[16] => rp_data[16].DATAIN
rdata_fifo_sink_data[17] => rp_data[17].DATAIN
rdata_fifo_sink_data[18] => rp_data[18].DATAIN
rdata_fifo_sink_data[19] => rp_data[19].DATAIN
rdata_fifo_sink_data[20] => rp_data[20].DATAIN
rdata_fifo_sink_data[21] => rp_data[21].DATAIN
rdata_fifo_sink_data[22] => rp_data[22].DATAIN
rdata_fifo_sink_data[23] => rp_data[23].DATAIN
rdata_fifo_sink_data[24] => rp_data[24].DATAIN
rdata_fifo_sink_data[25] => rp_data[25].DATAIN
rdata_fifo_sink_data[26] => rp_data[26].DATAIN
rdata_fifo_sink_data[27] => rp_data[27].DATAIN
rdata_fifo_sink_data[28] => rp_data[28].DATAIN
rdata_fifo_sink_data[29] => rp_data[29].DATAIN
rdata_fifo_sink_data[30] => rp_data[30].DATAIN
rdata_fifo_sink_data[31] => rp_data[31].DATAIN
rdata_fifo_sink_data[32] => current_response.IN0
rdata_fifo_sink_data[33] => current_response.IN0
rdata_fifo_sink_valid => rp_valid.IN1
rdata_fifo_sink_valid => rdata_fifo_sink_ready.IN0
rdata_fifo_sink_valid => comb.IN1
rdata_fifo_sink_ready <= rdata_fifo_sink_ready.DB_MAX_OUTPUT_PORT_TYPE
rdata_fifo_sink_error => current_response.IN1
rdata_fifo_sink_error => current_response.IN1
cp_ready <= cp_ready.DB_MAX_OUTPUT_PORT_TYPE
cp_valid => local_lock.IN0
cp_valid => local_write.IN0
cp_valid => local_read.IN0
cp_valid => local_compressed_read.IN0
cp_data[0] => m0_writedata[0].DATAIN
cp_data[1] => m0_writedata[1].DATAIN
cp_data[2] => m0_writedata[2].DATAIN
cp_data[3] => m0_writedata[3].DATAIN
cp_data[4] => m0_writedata[4].DATAIN
cp_data[5] => m0_writedata[5].DATAIN
cp_data[6] => m0_writedata[6].DATAIN
cp_data[7] => m0_writedata[7].DATAIN
cp_data[8] => m0_writedata[8].DATAIN
cp_data[9] => m0_writedata[9].DATAIN
cp_data[10] => m0_writedata[10].DATAIN
cp_data[11] => m0_writedata[11].DATAIN
cp_data[12] => m0_writedata[12].DATAIN
cp_data[13] => m0_writedata[13].DATAIN
cp_data[14] => m0_writedata[14].DATAIN
cp_data[15] => m0_writedata[15].DATAIN
cp_data[16] => m0_writedata[16].DATAIN
cp_data[17] => m0_writedata[17].DATAIN
cp_data[18] => m0_writedata[18].DATAIN
cp_data[19] => m0_writedata[19].DATAIN
cp_data[20] => m0_writedata[20].DATAIN
cp_data[21] => m0_writedata[21].DATAIN
cp_data[22] => m0_writedata[22].DATAIN
cp_data[23] => m0_writedata[23].DATAIN
cp_data[24] => m0_writedata[24].DATAIN
cp_data[25] => m0_writedata[25].DATAIN
cp_data[26] => m0_writedata[26].DATAIN
cp_data[27] => m0_writedata[27].DATAIN
cp_data[28] => m0_writedata[28].DATAIN
cp_data[29] => m0_writedata[29].DATAIN
cp_data[30] => m0_writedata[30].DATAIN
cp_data[31] => m0_writedata[31].DATAIN
cp_data[32] => WideOr0.IN0
cp_data[32] => m0_byteenable[0].DATAIN
cp_data[32] => rf_source_data[32].DATAIN
cp_data[33] => WideOr0.IN1
cp_data[33] => m0_byteenable[1].DATAIN
cp_data[33] => rf_source_data[33].DATAIN
cp_data[34] => WideOr0.IN2
cp_data[34] => m0_byteenable[2].DATAIN
cp_data[34] => rf_source_data[34].DATAIN
cp_data[35] => WideOr0.IN3
cp_data[35] => m0_byteenable[3].DATAIN
cp_data[35] => rf_source_data[35].DATAIN
cp_data[36] => rf_source_data[36].DATAIN
cp_data[37] => rf_source_data[37].DATAIN
cp_data[38] => rf_source_data[38].DATAIN
cp_data[38] => m0_address[2].DATAIN
cp_data[39] => rf_source_data[39].DATAIN
cp_data[39] => m0_address[3].DATAIN
cp_data[40] => rf_source_data[40].DATAIN
cp_data[40] => m0_address[4].DATAIN
cp_data[41] => rf_source_data[41].DATAIN
cp_data[41] => m0_address[5].DATAIN
cp_data[42] => rf_source_data[42].DATAIN
cp_data[42] => m0_address[6].DATAIN
cp_data[43] => rf_source_data[43].DATAIN
cp_data[43] => m0_address[7].DATAIN
cp_data[44] => rf_source_data[44].DATAIN
cp_data[44] => m0_address[8].DATAIN
cp_data[45] => rf_source_data[45].DATAIN
cp_data[45] => m0_address[9].DATAIN
cp_data[46] => rf_source_data[46].DATAIN
cp_data[46] => m0_address[10].DATAIN
cp_data[47] => rf_source_data[47].DATAIN
cp_data[47] => m0_address[11].DATAIN
cp_data[48] => rf_source_data[48].DATAIN
cp_data[48] => m0_address[12].DATAIN
cp_data[49] => rf_source_data[49].DATAIN
cp_data[49] => m0_address[13].DATAIN
cp_data[50] => rf_source_data[50].DATAIN
cp_data[50] => m0_address[14].DATAIN
cp_data[51] => rf_source_data[51].DATAIN
cp_data[51] => m0_address[15].DATAIN
cp_data[52] => rf_source_data[52].DATAIN
cp_data[52] => m0_address[16].DATAIN
cp_data[53] => rf_source_data[53].DATAIN
cp_data[53] => m0_address[17].DATAIN
cp_data[54] => rf_source_data[54].DATAIN
cp_data[54] => m0_address[18].DATAIN
cp_data[55] => rf_source_data[55].DATAIN
cp_data[55] => m0_address[19].DATAIN
cp_data[56] => rf_source_data[56].DATAIN
cp_data[56] => m0_address[20].DATAIN
cp_data[57] => rf_source_data[57].DATAIN
cp_data[57] => m0_address[21].DATAIN
cp_data[58] => rf_source_data[58].DATAIN
cp_data[58] => m0_address[22].DATAIN
cp_data[59] => rf_source_data[59].DATAIN
cp_data[59] => m0_address[23].DATAIN
cp_data[60] => rf_source_data[60].DATAIN
cp_data[60] => m0_address[24].DATAIN
cp_data[61] => rf_source_data[61].DATAIN
cp_data[61] => m0_address[25].DATAIN
cp_data[62] => rf_source_data[62].DATAIN
cp_data[62] => m0_address[26].DATAIN
cp_data[63] => rf_source_data[63].DATAIN
cp_data[63] => m0_address[27].DATAIN
cp_data[64] => rf_source_data[64].DATAIN
cp_data[64] => m0_address[28].DATAIN
cp_data[65] => rf_source_data[65].DATAIN
cp_data[65] => m0_address[29].DATAIN
cp_data[66] => local_compressed_read.IN1
cp_data[66] => rf_source_data[66].DATAIN
cp_data[67] => rf_source_data[67].DATAIN
cp_data[67] => comb.IN1
cp_data[68] => local_write.IN1
cp_data[68] => rf_source_data[68].DATAIN
cp_data[69] => local_read.IN1
cp_data[69] => rf_source_data[69].DATAIN
cp_data[70] => local_lock.IN1
cp_data[70] => rf_source_data[70].DATAIN
cp_data[71] => rf_source_data[71].DATAIN
cp_data[72] => m0_burstcount.DATAA
cp_data[72] => rf_source_data[72].DATAIN
cp_data[73] => m0_burstcount.DATAA
cp_data[73] => rf_source_data[73].DATAIN
cp_data[74] => m0_burstcount.DATAA
cp_data[74] => rf_source_data[74].DATAIN
cp_data[75] => rf_source_data[75].DATAIN
cp_data[76] => rf_source_data[76].DATAIN
cp_data[77] => rf_source_data[77].DATAIN
cp_data[78] => rf_source_data[78].DATAIN
cp_data[79] => rf_source_data[79].DATAIN
cp_data[80] => rf_source_data[80].DATAIN
cp_data[81] => rf_source_data[81].DATAIN
cp_data[82] => rf_source_data[82].DATAIN
cp_data[83] => rf_source_data[83].DATAIN
cp_data[84] => rf_source_data[84].DATAIN
cp_data[85] => rf_source_data[85].DATAIN
cp_data[86] => rf_source_data[86].DATAIN
cp_data[87] => rf_source_data[87].DATAIN
cp_data[88] => rf_source_data[88].DATAIN
cp_data[89] => rf_source_data[89].DATAIN
cp_data[90] => rf_source_data[90].DATAIN
cp_data[91] => rf_source_data[91].DATAIN
cp_data[92] => rf_source_data[92].DATAIN
cp_data[93] => rf_source_data[93].DATAIN
cp_data[94] => rf_source_data[94].DATAIN
cp_data[95] => rf_source_data[95].DATAIN
cp_data[96] => rf_source_data[96].DATAIN
cp_data[97] => rf_source_data[97].DATAIN
cp_data[98] => rf_source_data[98].DATAIN
cp_data[99] => rf_source_data[99].DATAIN
cp_data[100] => rf_source_data[100].DATAIN
cp_data[101] => rf_source_data[101].DATAIN
cp_data[102] => rf_source_data[102].DATAIN
cp_data[103] => rf_source_data[103].DATAIN
cp_data[104] => rf_source_data[104].DATAIN
cp_data[105] => rf_source_data[105].DATAIN
cp_data[106] => rf_source_data[106].DATAIN
cp_data[107] => rf_source_data[107].DATAIN
cp_data[108] => rf_source_data[108].DATAIN
cp_data[109] => rf_source_data[109].DATAIN
cp_data[110] => rf_source_data[110].DATAIN
cp_data[111] => rf_source_data[111].DATAIN
cp_data[112] => rf_source_data[112].DATAIN
cp_data[113] => rf_source_data[113].DATAIN
cp_data[114] => rf_source_data[114].DATAIN
cp_data[115] => rf_source_data[115].DATAIN
cp_data[116] => rf_source_data[116].DATAIN
cp_data[117] => rf_source_data[117].DATAIN
cp_data[117] => m0_debugaccess.DATAIN
cp_data[118] => ~NO_FANOUT~
cp_data[119] => ~NO_FANOUT~
cp_data[120] => rf_source_data[120].DATAIN
cp_data[121] => rf_source_data[121].DATAIN
cp_data[122] => rf_source_data[122].DATAIN
cp_data[123] => rf_source_data[123].DATAIN
cp_data[124] => rf_source_data[124].DATAIN
cp_data[125] => rf_source_data[125].DATAIN
cp_data[126] => rf_source_data[126].DATAIN
cp_data[127] => rf_source_data[127].DATAIN
cp_data[128] => rf_source_data[128].DATAIN
cp_channel[0] => ~NO_FANOUT~
cp_channel[1] => ~NO_FANOUT~
cp_channel[2] => ~NO_FANOUT~
cp_channel[3] => ~NO_FANOUT~
cp_channel[4] => ~NO_FANOUT~
cp_channel[5] => ~NO_FANOUT~
cp_channel[6] => ~NO_FANOUT~
cp_channel[7] => ~NO_FANOUT~
cp_channel[8] => ~NO_FANOUT~
cp_channel[9] => ~NO_FANOUT~
cp_channel[10] => ~NO_FANOUT~
cp_channel[11] => ~NO_FANOUT~
cp_channel[12] => ~NO_FANOUT~
cp_channel[13] => ~NO_FANOUT~
cp_channel[14] => ~NO_FANOUT~
cp_channel[15] => ~NO_FANOUT~
cp_channel[16] => ~NO_FANOUT~
cp_channel[17] => ~NO_FANOUT~
cp_channel[18] => ~NO_FANOUT~
cp_channel[19] => ~NO_FANOUT~
cp_channel[20] => ~NO_FANOUT~
cp_channel[21] => ~NO_FANOUT~
cp_startofpacket => rf_source_startofpacket.DATAIN
cp_endofpacket => nonposted_write_endofpacket.IN1
cp_endofpacket => rf_source_endofpacket.DATAIN
rp_ready => ready_for_response.IN1
rp_valid <= rp_valid.DB_MAX_OUTPUT_PORT_TYPE
rp_data[0] <= rdata_fifo_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rp_data[1] <= rdata_fifo_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rp_data[2] <= rdata_fifo_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rp_data[3] <= rdata_fifo_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rp_data[4] <= rdata_fifo_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rp_data[5] <= rdata_fifo_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rp_data[6] <= rdata_fifo_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rp_data[7] <= rdata_fifo_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rp_data[8] <= rdata_fifo_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rp_data[9] <= rdata_fifo_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rp_data[10] <= rdata_fifo_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rp_data[11] <= rdata_fifo_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rp_data[12] <= rdata_fifo_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rp_data[13] <= rdata_fifo_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rp_data[14] <= rdata_fifo_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rp_data[15] <= rdata_fifo_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rp_data[16] <= rdata_fifo_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rp_data[17] <= rdata_fifo_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rp_data[18] <= rdata_fifo_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rp_data[19] <= rdata_fifo_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rp_data[20] <= rdata_fifo_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rp_data[21] <= rdata_fifo_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rp_data[22] <= rdata_fifo_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rp_data[23] <= rdata_fifo_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rp_data[24] <= rdata_fifo_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rp_data[25] <= rdata_fifo_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rp_data[26] <= rdata_fifo_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rp_data[27] <= rdata_fifo_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rp_data[28] <= rdata_fifo_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rp_data[29] <= rdata_fifo_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rp_data[30] <= rdata_fifo_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rp_data[31] <= rdata_fifo_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rp_data[32] <= rf_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rp_data[33] <= rf_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rp_data[34] <= rf_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rp_data[35] <= rf_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rp_data[36] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[37] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[38] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[39] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[40] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[41] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[42] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[43] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[44] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[45] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[46] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[47] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[48] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[49] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[50] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[51] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[52] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[53] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[54] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[55] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[56] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[57] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[58] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[59] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[60] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[61] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[62] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[63] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[64] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[65] <= altera_merlin_burst_uncompressor:uncompressor.source_addr
rp_data[66] <= altera_merlin_burst_uncompressor:uncompressor.source_is_compressed
rp_data[67] <= rf_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rp_data[68] <= rf_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rp_data[69] <= rp_data.DB_MAX_OUTPUT_PORT_TYPE
rp_data[70] <= rf_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rp_data[71] <= rf_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rp_data[72] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[73] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[74] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[75] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[76] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[77] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[78] <= altera_merlin_burst_uncompressor:uncompressor.source_byte_cnt
rp_data[79] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[80] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[81] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[82] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[83] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[84] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[85] <= altera_merlin_burst_uncompressor:uncompressor.source_burstwrap
rp_data[86] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[87] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[88] <= altera_merlin_burst_uncompressor:uncompressor.source_burstsize
rp_data[89] <= rf_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rp_data[90] <= rf_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rp_data[91] <= rf_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rp_data[92] <= rf_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rp_data[93] <= rf_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rp_data[94] <= rf_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rp_data[95] <= rf_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rp_data[96] <= rf_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rp_data[97] <= rf_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rp_data[98] <= rf_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rp_data[99] <= rf_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rp_data[100] <= rf_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rp_data[101] <= rf_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rp_data[102] <= rf_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rp_data[103] <= rf_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rp_data[104] <= rf_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rp_data[105] <= rf_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rp_data[106] <= rf_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rp_data[107] <= rf_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rp_data[108] <= rf_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rp_data[109] <= rf_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rp_data[110] <= rf_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rp_data[111] <= rf_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rp_data[112] <= rf_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rp_data[113] <= rf_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rp_data[114] <= rf_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rp_data[115] <= rf_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rp_data[116] <= rf_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rp_data[117] <= rf_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rp_data[118] <= rf_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rp_data[119] <= rf_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rp_data[120] <= rf_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rp_data[121] <= rf_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rp_data[122] <= rf_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rp_data[123] <= rf_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rp_data[124] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[125] <= current_response.DB_MAX_OUTPUT_PORT_TYPE
rp_data[126] <= rf_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rp_data[127] <= rf_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rp_data[128] <= rf_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rp_startofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_startofpacket
rp_endofpacket <= altera_merlin_burst_uncompressor:uncompressor.source_endofpacket


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:counter_rx_fifo_s1_agent|altera_merlin_burst_uncompressor:uncompressor
clk => burst_uncompress_address_offset[0].CLK
clk => burst_uncompress_address_offset[1].CLK
clk => burst_uncompress_address_offset[2].CLK
clk => burst_uncompress_address_offset[3].CLK
clk => burst_uncompress_address_offset[4].CLK
clk => burst_uncompress_address_offset[5].CLK
clk => burst_uncompress_address_offset[6].CLK
clk => burst_uncompress_address_offset[7].CLK
clk => burst_uncompress_address_offset[8].CLK
clk => burst_uncompress_address_offset[9].CLK
clk => burst_uncompress_address_offset[10].CLK
clk => burst_uncompress_address_offset[11].CLK
clk => burst_uncompress_address_offset[12].CLK
clk => burst_uncompress_address_offset[13].CLK
clk => burst_uncompress_address_offset[14].CLK
clk => burst_uncompress_address_offset[15].CLK
clk => burst_uncompress_address_offset[16].CLK
clk => burst_uncompress_address_offset[17].CLK
clk => burst_uncompress_address_offset[18].CLK
clk => burst_uncompress_address_offset[19].CLK
clk => burst_uncompress_address_offset[20].CLK
clk => burst_uncompress_address_offset[21].CLK
clk => burst_uncompress_address_offset[22].CLK
clk => burst_uncompress_address_offset[23].CLK
clk => burst_uncompress_address_offset[24].CLK
clk => burst_uncompress_address_offset[25].CLK
clk => burst_uncompress_address_offset[26].CLK
clk => burst_uncompress_address_offset[27].CLK
clk => burst_uncompress_address_offset[28].CLK
clk => burst_uncompress_address_offset[29].CLK
clk => burst_uncompress_address_base[0].CLK
clk => burst_uncompress_address_base[1].CLK
clk => burst_uncompress_address_base[2].CLK
clk => burst_uncompress_address_base[3].CLK
clk => burst_uncompress_address_base[4].CLK
clk => burst_uncompress_address_base[5].CLK
clk => burst_uncompress_address_base[6].CLK
clk => burst_uncompress_address_base[7].CLK
clk => burst_uncompress_address_base[8].CLK
clk => burst_uncompress_address_base[9].CLK
clk => burst_uncompress_address_base[10].CLK
clk => burst_uncompress_address_base[11].CLK
clk => burst_uncompress_address_base[12].CLK
clk => burst_uncompress_address_base[13].CLK
clk => burst_uncompress_address_base[14].CLK
clk => burst_uncompress_address_base[15].CLK
clk => burst_uncompress_address_base[16].CLK
clk => burst_uncompress_address_base[17].CLK
clk => burst_uncompress_address_base[18].CLK
clk => burst_uncompress_address_base[19].CLK
clk => burst_uncompress_address_base[20].CLK
clk => burst_uncompress_address_base[21].CLK
clk => burst_uncompress_address_base[22].CLK
clk => burst_uncompress_address_base[23].CLK
clk => burst_uncompress_address_base[24].CLK
clk => burst_uncompress_address_base[25].CLK
clk => burst_uncompress_address_base[26].CLK
clk => burst_uncompress_address_base[27].CLK
clk => burst_uncompress_address_base[28].CLK
clk => burst_uncompress_address_base[29].CLK
clk => burst_uncompress_byte_counter[0].CLK
clk => burst_uncompress_byte_counter[1].CLK
clk => burst_uncompress_byte_counter[2].CLK
clk => burst_uncompress_byte_counter[3].CLK
clk => burst_uncompress_byte_counter[4].CLK
clk => burst_uncompress_byte_counter[5].CLK
clk => burst_uncompress_byte_counter[6].CLK
clk => burst_uncompress_byte_counter[7].CLK
clk => burst_uncompress_busy.CLK
reset => burst_uncompress_address_offset[0].ACLR
reset => burst_uncompress_address_offset[1].ACLR
reset => burst_uncompress_address_offset[2].ACLR
reset => burst_uncompress_address_offset[3].ACLR
reset => burst_uncompress_address_offset[4].ACLR
reset => burst_uncompress_address_offset[5].ACLR
reset => burst_uncompress_address_offset[6].ACLR
reset => burst_uncompress_address_offset[7].ACLR
reset => burst_uncompress_address_offset[8].ACLR
reset => burst_uncompress_address_offset[9].ACLR
reset => burst_uncompress_address_offset[10].ACLR
reset => burst_uncompress_address_offset[11].ACLR
reset => burst_uncompress_address_offset[12].ACLR
reset => burst_uncompress_address_offset[13].ACLR
reset => burst_uncompress_address_offset[14].ACLR
reset => burst_uncompress_address_offset[15].ACLR
reset => burst_uncompress_address_offset[16].ACLR
reset => burst_uncompress_address_offset[17].ACLR
reset => burst_uncompress_address_offset[18].ACLR
reset => burst_uncompress_address_offset[19].ACLR
reset => burst_uncompress_address_offset[20].ACLR
reset => burst_uncompress_address_offset[21].ACLR
reset => burst_uncompress_address_offset[22].ACLR
reset => burst_uncompress_address_offset[23].ACLR
reset => burst_uncompress_address_offset[24].ACLR
reset => burst_uncompress_address_offset[25].ACLR
reset => burst_uncompress_address_offset[26].ACLR
reset => burst_uncompress_address_offset[27].ACLR
reset => burst_uncompress_address_offset[28].ACLR
reset => burst_uncompress_address_offset[29].ACLR
reset => burst_uncompress_address_base[0].ACLR
reset => burst_uncompress_address_base[1].ACLR
reset => burst_uncompress_address_base[2].ACLR
reset => burst_uncompress_address_base[3].ACLR
reset => burst_uncompress_address_base[4].ACLR
reset => burst_uncompress_address_base[5].ACLR
reset => burst_uncompress_address_base[6].ACLR
reset => burst_uncompress_address_base[7].ACLR
reset => burst_uncompress_address_base[8].ACLR
reset => burst_uncompress_address_base[9].ACLR
reset => burst_uncompress_address_base[10].ACLR
reset => burst_uncompress_address_base[11].ACLR
reset => burst_uncompress_address_base[12].ACLR
reset => burst_uncompress_address_base[13].ACLR
reset => burst_uncompress_address_base[14].ACLR
reset => burst_uncompress_address_base[15].ACLR
reset => burst_uncompress_address_base[16].ACLR
reset => burst_uncompress_address_base[17].ACLR
reset => burst_uncompress_address_base[18].ACLR
reset => burst_uncompress_address_base[19].ACLR
reset => burst_uncompress_address_base[20].ACLR
reset => burst_uncompress_address_base[21].ACLR
reset => burst_uncompress_address_base[22].ACLR
reset => burst_uncompress_address_base[23].ACLR
reset => burst_uncompress_address_base[24].ACLR
reset => burst_uncompress_address_base[25].ACLR
reset => burst_uncompress_address_base[26].ACLR
reset => burst_uncompress_address_base[27].ACLR
reset => burst_uncompress_address_base[28].ACLR
reset => burst_uncompress_address_base[29].ACLR
reset => burst_uncompress_byte_counter[0].ACLR
reset => burst_uncompress_byte_counter[1].ACLR
reset => burst_uncompress_byte_counter[2].ACLR
reset => burst_uncompress_byte_counter[3].ACLR
reset => burst_uncompress_byte_counter[4].ACLR
reset => burst_uncompress_byte_counter[5].ACLR
reset => burst_uncompress_byte_counter[6].ACLR
reset => burst_uncompress_byte_counter[7].ACLR
reset => burst_uncompress_busy.ACLR
sink_startofpacket => source_startofpacket.IN1
sink_endofpacket => source_endofpacket.IN1
sink_valid => first_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => last_packet_beat.IN1
sink_valid => always0.IN1
sink_valid => sink_ready.IN0
sink_valid => source_valid.DATAIN
sink_ready <= sink_ready.DB_MAX_OUTPUT_PORT_TYPE
sink_addr[0] => burst_uncompress_address_base.IN0
sink_addr[0] => comb.DATAB
sink_addr[0] => source_addr.DATAB
sink_addr[1] => burst_uncompress_address_base.IN0
sink_addr[1] => comb.DATAB
sink_addr[1] => source_addr.DATAB
sink_addr[2] => burst_uncompress_address_base.IN0
sink_addr[2] => comb.DATAB
sink_addr[2] => source_addr.DATAB
sink_addr[3] => burst_uncompress_address_base.IN0
sink_addr[3] => comb.DATAB
sink_addr[3] => source_addr.DATAB
sink_addr[4] => burst_uncompress_address_base.IN0
sink_addr[4] => comb.DATAB
sink_addr[4] => source_addr.DATAB
sink_addr[5] => burst_uncompress_address_base.IN0
sink_addr[5] => comb.DATAB
sink_addr[5] => source_addr.DATAB
sink_addr[6] => burst_uncompress_address_base.IN0
sink_addr[6] => comb.DATAB
sink_addr[6] => source_addr.DATAB
sink_addr[7] => burst_uncompress_address_base.IN0
sink_addr[7] => comb.DATAB
sink_addr[7] => source_addr.DATAB
sink_addr[8] => burst_uncompress_address_base.IN0
sink_addr[8] => comb.DATAB
sink_addr[8] => source_addr.DATAB
sink_addr[9] => burst_uncompress_address_base.IN0
sink_addr[9] => comb.DATAB
sink_addr[9] => source_addr.DATAB
sink_addr[10] => burst_uncompress_address_base.IN0
sink_addr[10] => comb.DATAB
sink_addr[10] => source_addr.DATAB
sink_addr[11] => burst_uncompress_address_base.IN0
sink_addr[11] => comb.DATAB
sink_addr[11] => source_addr.DATAB
sink_addr[12] => burst_uncompress_address_base.IN0
sink_addr[12] => comb.DATAB
sink_addr[12] => source_addr.DATAB
sink_addr[13] => burst_uncompress_address_base.IN0
sink_addr[13] => comb.DATAB
sink_addr[13] => source_addr.DATAB
sink_addr[14] => burst_uncompress_address_base.IN0
sink_addr[14] => comb.DATAB
sink_addr[14] => source_addr.DATAB
sink_addr[15] => burst_uncompress_address_base.IN0
sink_addr[15] => comb.DATAB
sink_addr[15] => source_addr.DATAB
sink_addr[16] => burst_uncompress_address_base.IN0
sink_addr[16] => comb.DATAB
sink_addr[16] => source_addr.DATAB
sink_addr[17] => burst_uncompress_address_base.IN0
sink_addr[17] => comb.DATAB
sink_addr[17] => source_addr.DATAB
sink_addr[18] => burst_uncompress_address_base.IN0
sink_addr[18] => comb.DATAB
sink_addr[18] => source_addr.DATAB
sink_addr[19] => burst_uncompress_address_base.IN0
sink_addr[19] => comb.DATAB
sink_addr[19] => source_addr.DATAB
sink_addr[20] => burst_uncompress_address_base.IN0
sink_addr[20] => comb.DATAB
sink_addr[20] => source_addr.DATAB
sink_addr[21] => burst_uncompress_address_base.IN0
sink_addr[21] => comb.DATAB
sink_addr[21] => source_addr.DATAB
sink_addr[22] => burst_uncompress_address_base.IN0
sink_addr[22] => comb.DATAB
sink_addr[22] => source_addr.DATAB
sink_addr[23] => burst_uncompress_address_base.IN0
sink_addr[23] => comb.DATAB
sink_addr[23] => source_addr.DATAB
sink_addr[24] => burst_uncompress_address_base.IN0
sink_addr[24] => comb.DATAB
sink_addr[24] => source_addr.DATAB
sink_addr[25] => burst_uncompress_address_base.IN0
sink_addr[25] => comb.DATAB
sink_addr[25] => source_addr.DATAB
sink_addr[26] => burst_uncompress_address_base.IN0
sink_addr[26] => comb.DATAB
sink_addr[26] => source_addr.DATAB
sink_addr[27] => burst_uncompress_address_base.IN0
sink_addr[27] => comb.DATAB
sink_addr[27] => source_addr.DATAB
sink_addr[28] => burst_uncompress_address_base.IN0
sink_addr[28] => comb.DATAB
sink_addr[28] => source_addr.DATAB
sink_addr[29] => burst_uncompress_address_base.IN0
sink_addr[29] => comb.DATAB
sink_addr[29] => source_addr.DATAB
sink_burstwrap[0] => p1_burst_uncompress_address_offset[0].IN1
sink_burstwrap[0] => source_burstwrap[0].DATAIN
sink_burstwrap[0] => burst_uncompress_address_base.IN1
sink_burstwrap[1] => p1_burst_uncompress_address_offset[1].IN1
sink_burstwrap[1] => source_burstwrap[1].DATAIN
sink_burstwrap[1] => burst_uncompress_address_base.IN1
sink_burstwrap[2] => p1_burst_uncompress_address_offset[2].IN1
sink_burstwrap[2] => source_burstwrap[2].DATAIN
sink_burstwrap[2] => burst_uncompress_address_base.IN1
sink_burstwrap[3] => p1_burst_uncompress_address_offset[3].IN1
sink_burstwrap[3] => source_burstwrap[3].DATAIN
sink_burstwrap[3] => burst_uncompress_address_base.IN1
sink_burstwrap[4] => p1_burst_uncompress_address_offset[4].IN1
sink_burstwrap[4] => source_burstwrap[4].DATAIN
sink_burstwrap[4] => burst_uncompress_address_base.IN1
sink_burstwrap[5] => p1_burst_uncompress_address_offset[5].IN1
sink_burstwrap[5] => source_burstwrap[5].DATAIN
sink_burstwrap[5] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[29].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[28].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[27].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[26].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[25].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[24].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[23].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[22].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[21].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[20].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[19].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[18].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[17].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[16].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[15].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[14].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[13].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[12].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[11].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[10].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[9].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[8].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[7].IN1
sink_burstwrap[6] => p1_burst_uncompress_address_offset[6].IN1
sink_burstwrap[6] => source_burstwrap[6].DATAIN
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_burstwrap[6] => burst_uncompress_address_base.IN1
sink_byte_cnt[0] => source_byte_cnt.DATAB
sink_byte_cnt[0] => Add1.IN14
sink_byte_cnt[0] => Equal1.IN5
sink_byte_cnt[1] => source_byte_cnt.DATAB
sink_byte_cnt[1] => Add1.IN13
sink_byte_cnt[1] => Equal1.IN4
sink_byte_cnt[2] => source_byte_cnt.DATAB
sink_byte_cnt[2] => Add1.IN12
sink_byte_cnt[2] => Equal1.IN6
sink_byte_cnt[3] => source_byte_cnt.DATAB
sink_byte_cnt[3] => Add1.IN11
sink_byte_cnt[3] => Equal1.IN3
sink_byte_cnt[4] => source_byte_cnt.DATAB
sink_byte_cnt[4] => Add1.IN10
sink_byte_cnt[4] => Equal1.IN2
sink_byte_cnt[5] => source_byte_cnt.DATAB
sink_byte_cnt[5] => Add1.IN9
sink_byte_cnt[5] => Equal1.IN1
sink_byte_cnt[6] => source_byte_cnt.DATAB
sink_byte_cnt[6] => Add1.IN8
sink_byte_cnt[6] => Equal1.IN0
sink_is_compressed => last_packet_beat.IN1
sink_burstsize[0] => Decoder0.IN2
sink_burstsize[0] => source_burstsize[0].DATAIN
sink_burstsize[1] => Decoder0.IN1
sink_burstsize[1] => source_burstsize[1].DATAIN
sink_burstsize[2] => Decoder0.IN0
sink_burstsize[2] => source_burstsize[2].DATAIN
source_startofpacket <= source_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_endofpacket <= source_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
source_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
source_ready => always1.IN1
source_ready => sink_ready.IN1
source_addr[0] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[1] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[2] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[3] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[4] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[5] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[6] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[7] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[8] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[9] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[10] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[11] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[12] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[13] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[14] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[15] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[16] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[17] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[18] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[19] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[20] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[21] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[22] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[23] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[24] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[25] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[26] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[27] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[28] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_addr[29] <= source_addr.DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[0] <= sink_burstwrap[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[1] <= sink_burstwrap[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[2] <= sink_burstwrap[2].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[3] <= sink_burstwrap[3].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[4] <= sink_burstwrap[4].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[5] <= sink_burstwrap[5].DB_MAX_OUTPUT_PORT_TYPE
source_burstwrap[6] <= sink_burstwrap[6].DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[0] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[1] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[2] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[3] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[4] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[5] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_byte_cnt[6] <= source_byte_cnt.DB_MAX_OUTPUT_PORT_TYPE
source_is_compressed <= <GND>
source_burstsize[0] <= sink_burstsize[0].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[1] <= sink_burstsize[1].DB_MAX_OUTPUT_PORT_TYPE
source_burstsize[2] <= sink_burstsize[2].DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:counter_rx_fifo_s1_agent_rsp_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[1][34].CLK
clk => mem[1][35].CLK
clk => mem[1][36].CLK
clk => mem[1][37].CLK
clk => mem[1][38].CLK
clk => mem[1][39].CLK
clk => mem[1][40].CLK
clk => mem[1][41].CLK
clk => mem[1][42].CLK
clk => mem[1][43].CLK
clk => mem[1][44].CLK
clk => mem[1][45].CLK
clk => mem[1][46].CLK
clk => mem[1][47].CLK
clk => mem[1][48].CLK
clk => mem[1][49].CLK
clk => mem[1][50].CLK
clk => mem[1][51].CLK
clk => mem[1][52].CLK
clk => mem[1][53].CLK
clk => mem[1][54].CLK
clk => mem[1][55].CLK
clk => mem[1][56].CLK
clk => mem[1][57].CLK
clk => mem[1][58].CLK
clk => mem[1][59].CLK
clk => mem[1][60].CLK
clk => mem[1][61].CLK
clk => mem[1][62].CLK
clk => mem[1][63].CLK
clk => mem[1][64].CLK
clk => mem[1][65].CLK
clk => mem[1][66].CLK
clk => mem[1][67].CLK
clk => mem[1][68].CLK
clk => mem[1][69].CLK
clk => mem[1][70].CLK
clk => mem[1][71].CLK
clk => mem[1][72].CLK
clk => mem[1][73].CLK
clk => mem[1][74].CLK
clk => mem[1][75].CLK
clk => mem[1][76].CLK
clk => mem[1][77].CLK
clk => mem[1][78].CLK
clk => mem[1][79].CLK
clk => mem[1][80].CLK
clk => mem[1][81].CLK
clk => mem[1][82].CLK
clk => mem[1][83].CLK
clk => mem[1][84].CLK
clk => mem[1][85].CLK
clk => mem[1][86].CLK
clk => mem[1][87].CLK
clk => mem[1][88].CLK
clk => mem[1][89].CLK
clk => mem[1][90].CLK
clk => mem[1][91].CLK
clk => mem[1][92].CLK
clk => mem[1][93].CLK
clk => mem[1][94].CLK
clk => mem[1][95].CLK
clk => mem[1][96].CLK
clk => mem[1][97].CLK
clk => mem[1][98].CLK
clk => mem[1][99].CLK
clk => mem[1][100].CLK
clk => mem[1][101].CLK
clk => mem[1][102].CLK
clk => mem[1][103].CLK
clk => mem[1][104].CLK
clk => mem[1][105].CLK
clk => mem[1][106].CLK
clk => mem[1][107].CLK
clk => mem[1][108].CLK
clk => mem[1][109].CLK
clk => mem[1][110].CLK
clk => mem[1][111].CLK
clk => mem[1][112].CLK
clk => mem[1][113].CLK
clk => mem[1][114].CLK
clk => mem[1][115].CLK
clk => mem[1][116].CLK
clk => mem[1][117].CLK
clk => mem[1][118].CLK
clk => mem[1][119].CLK
clk => mem[1][120].CLK
clk => mem[1][121].CLK
clk => mem[1][122].CLK
clk => mem[1][123].CLK
clk => mem[1][124].CLK
clk => mem[1][125].CLK
clk => mem[1][126].CLK
clk => mem[1][127].CLK
clk => mem[1][128].CLK
clk => mem[1][129].CLK
clk => mem[1][130].CLK
clk => mem[1][131].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
clk => mem[0][34].CLK
clk => mem[0][35].CLK
clk => mem[0][36].CLK
clk => mem[0][37].CLK
clk => mem[0][38].CLK
clk => mem[0][39].CLK
clk => mem[0][40].CLK
clk => mem[0][41].CLK
clk => mem[0][42].CLK
clk => mem[0][43].CLK
clk => mem[0][44].CLK
clk => mem[0][45].CLK
clk => mem[0][46].CLK
clk => mem[0][47].CLK
clk => mem[0][48].CLK
clk => mem[0][49].CLK
clk => mem[0][50].CLK
clk => mem[0][51].CLK
clk => mem[0][52].CLK
clk => mem[0][53].CLK
clk => mem[0][54].CLK
clk => mem[0][55].CLK
clk => mem[0][56].CLK
clk => mem[0][57].CLK
clk => mem[0][58].CLK
clk => mem[0][59].CLK
clk => mem[0][60].CLK
clk => mem[0][61].CLK
clk => mem[0][62].CLK
clk => mem[0][63].CLK
clk => mem[0][64].CLK
clk => mem[0][65].CLK
clk => mem[0][66].CLK
clk => mem[0][67].CLK
clk => mem[0][68].CLK
clk => mem[0][69].CLK
clk => mem[0][70].CLK
clk => mem[0][71].CLK
clk => mem[0][72].CLK
clk => mem[0][73].CLK
clk => mem[0][74].CLK
clk => mem[0][75].CLK
clk => mem[0][76].CLK
clk => mem[0][77].CLK
clk => mem[0][78].CLK
clk => mem[0][79].CLK
clk => mem[0][80].CLK
clk => mem[0][81].CLK
clk => mem[0][82].CLK
clk => mem[0][83].CLK
clk => mem[0][84].CLK
clk => mem[0][85].CLK
clk => mem[0][86].CLK
clk => mem[0][87].CLK
clk => mem[0][88].CLK
clk => mem[0][89].CLK
clk => mem[0][90].CLK
clk => mem[0][91].CLK
clk => mem[0][92].CLK
clk => mem[0][93].CLK
clk => mem[0][94].CLK
clk => mem[0][95].CLK
clk => mem[0][96].CLK
clk => mem[0][97].CLK
clk => mem[0][98].CLK
clk => mem[0][99].CLK
clk => mem[0][100].CLK
clk => mem[0][101].CLK
clk => mem[0][102].CLK
clk => mem[0][103].CLK
clk => mem[0][104].CLK
clk => mem[0][105].CLK
clk => mem[0][106].CLK
clk => mem[0][107].CLK
clk => mem[0][108].CLK
clk => mem[0][109].CLK
clk => mem[0][110].CLK
clk => mem[0][111].CLK
clk => mem[0][112].CLK
clk => mem[0][113].CLK
clk => mem[0][114].CLK
clk => mem[0][115].CLK
clk => mem[0][116].CLK
clk => mem[0][117].CLK
clk => mem[0][118].CLK
clk => mem[0][119].CLK
clk => mem[0][120].CLK
clk => mem[0][121].CLK
clk => mem[0][122].CLK
clk => mem[0][123].CLK
clk => mem[0][124].CLK
clk => mem[0][125].CLK
clk => mem[0][126].CLK
clk => mem[0][127].CLK
clk => mem[0][128].CLK
clk => mem[0][129].CLK
clk => mem[0][130].CLK
clk => mem[0][131].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[1][34].ACLR
reset => mem[1][35].ACLR
reset => mem[1][36].ACLR
reset => mem[1][37].ACLR
reset => mem[1][38].ACLR
reset => mem[1][39].ACLR
reset => mem[1][40].ACLR
reset => mem[1][41].ACLR
reset => mem[1][42].ACLR
reset => mem[1][43].ACLR
reset => mem[1][44].ACLR
reset => mem[1][45].ACLR
reset => mem[1][46].ACLR
reset => mem[1][47].ACLR
reset => mem[1][48].ACLR
reset => mem[1][49].ACLR
reset => mem[1][50].ACLR
reset => mem[1][51].ACLR
reset => mem[1][52].ACLR
reset => mem[1][53].ACLR
reset => mem[1][54].ACLR
reset => mem[1][55].ACLR
reset => mem[1][56].ACLR
reset => mem[1][57].ACLR
reset => mem[1][58].ACLR
reset => mem[1][59].ACLR
reset => mem[1][60].ACLR
reset => mem[1][61].ACLR
reset => mem[1][62].ACLR
reset => mem[1][63].ACLR
reset => mem[1][64].ACLR
reset => mem[1][65].ACLR
reset => mem[1][66].ACLR
reset => mem[1][67].ACLR
reset => mem[1][68].ACLR
reset => mem[1][69].ACLR
reset => mem[1][70].ACLR
reset => mem[1][71].ACLR
reset => mem[1][72].ACLR
reset => mem[1][73].ACLR
reset => mem[1][74].ACLR
reset => mem[1][75].ACLR
reset => mem[1][76].ACLR
reset => mem[1][77].ACLR
reset => mem[1][78].ACLR
reset => mem[1][79].ACLR
reset => mem[1][80].ACLR
reset => mem[1][81].ACLR
reset => mem[1][82].ACLR
reset => mem[1][83].ACLR
reset => mem[1][84].ACLR
reset => mem[1][85].ACLR
reset => mem[1][86].ACLR
reset => mem[1][87].ACLR
reset => mem[1][88].ACLR
reset => mem[1][89].ACLR
reset => mem[1][90].ACLR
reset => mem[1][91].ACLR
reset => mem[1][92].ACLR
reset => mem[1][93].ACLR
reset => mem[1][94].ACLR
reset => mem[1][95].ACLR
reset => mem[1][96].ACLR
reset => mem[1][97].ACLR
reset => mem[1][98].ACLR
reset => mem[1][99].ACLR
reset => mem[1][100].ACLR
reset => mem[1][101].ACLR
reset => mem[1][102].ACLR
reset => mem[1][103].ACLR
reset => mem[1][104].ACLR
reset => mem[1][105].ACLR
reset => mem[1][106].ACLR
reset => mem[1][107].ACLR
reset => mem[1][108].ACLR
reset => mem[1][109].ACLR
reset => mem[1][110].ACLR
reset => mem[1][111].ACLR
reset => mem[1][112].ACLR
reset => mem[1][113].ACLR
reset => mem[1][114].ACLR
reset => mem[1][115].ACLR
reset => mem[1][116].ACLR
reset => mem[1][117].ACLR
reset => mem[1][118].ACLR
reset => mem[1][119].ACLR
reset => mem[1][120].ACLR
reset => mem[1][121].ACLR
reset => mem[1][122].ACLR
reset => mem[1][123].ACLR
reset => mem[1][124].ACLR
reset => mem[1][125].ACLR
reset => mem[1][126].ACLR
reset => mem[1][127].ACLR
reset => mem[1][128].ACLR
reset => mem[1][129].ACLR
reset => mem[1][130].ACLR
reset => mem[1][131].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
reset => mem[0][34].ACLR
reset => mem[0][35].ACLR
reset => mem[0][36].ACLR
reset => mem[0][37].ACLR
reset => mem[0][38].ACLR
reset => mem[0][39].ACLR
reset => mem[0][40].ACLR
reset => mem[0][41].ACLR
reset => mem[0][42].ACLR
reset => mem[0][43].ACLR
reset => mem[0][44].ACLR
reset => mem[0][45].ACLR
reset => mem[0][46].ACLR
reset => mem[0][47].ACLR
reset => mem[0][48].ACLR
reset => mem[0][49].ACLR
reset => mem[0][50].ACLR
reset => mem[0][51].ACLR
reset => mem[0][52].ACLR
reset => mem[0][53].ACLR
reset => mem[0][54].ACLR
reset => mem[0][55].ACLR
reset => mem[0][56].ACLR
reset => mem[0][57].ACLR
reset => mem[0][58].ACLR
reset => mem[0][59].ACLR
reset => mem[0][60].ACLR
reset => mem[0][61].ACLR
reset => mem[0][62].ACLR
reset => mem[0][63].ACLR
reset => mem[0][64].ACLR
reset => mem[0][65].ACLR
reset => mem[0][66].ACLR
reset => mem[0][67].ACLR
reset => mem[0][68].ACLR
reset => mem[0][69].ACLR
reset => mem[0][70].ACLR
reset => mem[0][71].ACLR
reset => mem[0][72].ACLR
reset => mem[0][73].ACLR
reset => mem[0][74].ACLR
reset => mem[0][75].ACLR
reset => mem[0][76].ACLR
reset => mem[0][77].ACLR
reset => mem[0][78].ACLR
reset => mem[0][79].ACLR
reset => mem[0][80].ACLR
reset => mem[0][81].ACLR
reset => mem[0][82].ACLR
reset => mem[0][83].ACLR
reset => mem[0][84].ACLR
reset => mem[0][85].ACLR
reset => mem[0][86].ACLR
reset => mem[0][87].ACLR
reset => mem[0][88].ACLR
reset => mem[0][89].ACLR
reset => mem[0][90].ACLR
reset => mem[0][91].ACLR
reset => mem[0][92].ACLR
reset => mem[0][93].ACLR
reset => mem[0][94].ACLR
reset => mem[0][95].ACLR
reset => mem[0][96].ACLR
reset => mem[0][97].ACLR
reset => mem[0][98].ACLR
reset => mem[0][99].ACLR
reset => mem[0][100].ACLR
reset => mem[0][101].ACLR
reset => mem[0][102].ACLR
reset => mem[0][103].ACLR
reset => mem[0][104].ACLR
reset => mem[0][105].ACLR
reset => mem[0][106].ACLR
reset => mem[0][107].ACLR
reset => mem[0][108].ACLR
reset => mem[0][109].ACLR
reset => mem[0][110].ACLR
reset => mem[0][111].ACLR
reset => mem[0][112].ACLR
reset => mem[0][113].ACLR
reset => mem[0][114].ACLR
reset => mem[0][115].ACLR
reset => mem[0][116].ACLR
reset => mem[0][117].ACLR
reset => mem[0][118].ACLR
reset => mem[0][119].ACLR
reset => mem[0][120].ACLR
reset => mem[0][121].ACLR
reset => mem[0][122].ACLR
reset => mem[0][123].ACLR
reset => mem[0][124].ACLR
reset => mem[0][125].ACLR
reset => mem[0][126].ACLR
reset => mem[0][127].ACLR
reset => mem[0][128].ACLR
reset => mem[0][129].ACLR
reset => mem[0][130].ACLR
reset => mem[0][131].ACLR
in_data[0] => mem.DATAB
in_data[1] => mem.DATAB
in_data[2] => mem.DATAB
in_data[3] => mem.DATAB
in_data[4] => mem.DATAB
in_data[5] => mem.DATAB
in_data[6] => mem.DATAB
in_data[7] => mem.DATAB
in_data[8] => mem.DATAB
in_data[9] => mem.DATAB
in_data[10] => mem.DATAB
in_data[11] => mem.DATAB
in_data[12] => mem.DATAB
in_data[13] => mem.DATAB
in_data[14] => mem.DATAB
in_data[15] => mem.DATAB
in_data[16] => mem.DATAB
in_data[17] => mem.DATAB
in_data[18] => mem.DATAB
in_data[19] => mem.DATAB
in_data[20] => mem.DATAB
in_data[21] => mem.DATAB
in_data[22] => mem.DATAB
in_data[23] => mem.DATAB
in_data[24] => mem.DATAB
in_data[25] => mem.DATAB
in_data[26] => mem.DATAB
in_data[27] => mem.DATAB
in_data[28] => mem.DATAB
in_data[29] => mem.DATAB
in_data[30] => mem.DATAB
in_data[31] => mem.DATAB
in_data[32] => mem.DATAB
in_data[33] => mem.DATAB
in_data[34] => mem.DATAB
in_data[35] => mem.DATAB
in_data[36] => mem.DATAB
in_data[37] => mem.DATAB
in_data[38] => mem.DATAB
in_data[39] => mem.DATAB
in_data[40] => mem.DATAB
in_data[41] => mem.DATAB
in_data[42] => mem.DATAB
in_data[43] => mem.DATAB
in_data[44] => mem.DATAB
in_data[45] => mem.DATAB
in_data[46] => mem.DATAB
in_data[47] => mem.DATAB
in_data[48] => mem.DATAB
in_data[49] => mem.DATAB
in_data[50] => mem.DATAB
in_data[51] => mem.DATAB
in_data[52] => mem.DATAB
in_data[53] => mem.DATAB
in_data[54] => mem.DATAB
in_data[55] => mem.DATAB
in_data[56] => mem.DATAB
in_data[57] => mem.DATAB
in_data[58] => mem.DATAB
in_data[59] => mem.DATAB
in_data[60] => mem.DATAB
in_data[61] => mem.DATAB
in_data[62] => mem.DATAB
in_data[63] => mem.DATAB
in_data[64] => mem.DATAB
in_data[65] => mem.DATAB
in_data[66] => mem.DATAB
in_data[67] => mem.DATAB
in_data[68] => mem.DATAB
in_data[69] => mem.DATAB
in_data[70] => mem.DATAB
in_data[71] => mem.DATAB
in_data[72] => mem.DATAB
in_data[73] => mem.DATAB
in_data[74] => mem.DATAB
in_data[75] => mem.DATAB
in_data[76] => mem.DATAB
in_data[77] => mem.DATAB
in_data[78] => mem.DATAB
in_data[79] => mem.DATAB
in_data[80] => mem.DATAB
in_data[81] => mem.DATAB
in_data[82] => mem.DATAB
in_data[83] => mem.DATAB
in_data[84] => mem.DATAB
in_data[85] => mem.DATAB
in_data[86] => mem.DATAB
in_data[87] => mem.DATAB
in_data[88] => mem.DATAB
in_data[89] => mem.DATAB
in_data[90] => mem.DATAB
in_data[91] => mem.DATAB
in_data[92] => mem.DATAB
in_data[93] => mem.DATAB
in_data[94] => mem.DATAB
in_data[95] => mem.DATAB
in_data[96] => mem.DATAB
in_data[97] => mem.DATAB
in_data[98] => mem.DATAB
in_data[99] => mem.DATAB
in_data[100] => mem.DATAB
in_data[101] => mem.DATAB
in_data[102] => mem.DATAB
in_data[103] => mem.DATAB
in_data[104] => mem.DATAB
in_data[105] => mem.DATAB
in_data[106] => mem.DATAB
in_data[107] => mem.DATAB
in_data[108] => mem.DATAB
in_data[109] => mem.DATAB
in_data[110] => mem.DATAB
in_data[111] => mem.DATAB
in_data[112] => mem.DATAB
in_data[113] => mem.DATAB
in_data[114] => mem.DATAB
in_data[115] => mem.DATAB
in_data[116] => mem.DATAB
in_data[117] => mem.DATAB
in_data[118] => mem.DATAB
in_data[119] => mem.DATAB
in_data[120] => mem.DATAB
in_data[121] => mem.DATAB
in_data[122] => mem.DATAB
in_data[123] => mem.DATAB
in_data[124] => mem.DATAB
in_data[125] => mem.DATAB
in_data[126] => mem.DATAB
in_data[127] => mem.DATAB
in_data[128] => mem.DATAB
in_data[129] => mem.DATAB
in_valid => write.IN1
in_startofpacket => mem.DATAB
in_endofpacket => mem.DATAB
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= mem[0][0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= mem[0][1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= mem[0][2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= mem[0][3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= mem[0][4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= mem[0][5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= mem[0][6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= mem[0][7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= mem[0][8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= mem[0][9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= mem[0][10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= mem[0][11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= mem[0][12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= mem[0][13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= mem[0][14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= mem[0][15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= mem[0][16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= mem[0][17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= mem[0][18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= mem[0][19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= mem[0][20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= mem[0][21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= mem[0][22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= mem[0][23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= mem[0][24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= mem[0][25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= mem[0][26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= mem[0][27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= mem[0][28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= mem[0][29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= mem[0][30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= mem[0][31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= mem[0][32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= mem[0][33].DB_MAX_OUTPUT_PORT_TYPE
out_data[34] <= mem[0][34].DB_MAX_OUTPUT_PORT_TYPE
out_data[35] <= mem[0][35].DB_MAX_OUTPUT_PORT_TYPE
out_data[36] <= mem[0][36].DB_MAX_OUTPUT_PORT_TYPE
out_data[37] <= mem[0][37].DB_MAX_OUTPUT_PORT_TYPE
out_data[38] <= mem[0][38].DB_MAX_OUTPUT_PORT_TYPE
out_data[39] <= mem[0][39].DB_MAX_OUTPUT_PORT_TYPE
out_data[40] <= mem[0][40].DB_MAX_OUTPUT_PORT_TYPE
out_data[41] <= mem[0][41].DB_MAX_OUTPUT_PORT_TYPE
out_data[42] <= mem[0][42].DB_MAX_OUTPUT_PORT_TYPE
out_data[43] <= mem[0][43].DB_MAX_OUTPUT_PORT_TYPE
out_data[44] <= mem[0][44].DB_MAX_OUTPUT_PORT_TYPE
out_data[45] <= mem[0][45].DB_MAX_OUTPUT_PORT_TYPE
out_data[46] <= mem[0][46].DB_MAX_OUTPUT_PORT_TYPE
out_data[47] <= mem[0][47].DB_MAX_OUTPUT_PORT_TYPE
out_data[48] <= mem[0][48].DB_MAX_OUTPUT_PORT_TYPE
out_data[49] <= mem[0][49].DB_MAX_OUTPUT_PORT_TYPE
out_data[50] <= mem[0][50].DB_MAX_OUTPUT_PORT_TYPE
out_data[51] <= mem[0][51].DB_MAX_OUTPUT_PORT_TYPE
out_data[52] <= mem[0][52].DB_MAX_OUTPUT_PORT_TYPE
out_data[53] <= mem[0][53].DB_MAX_OUTPUT_PORT_TYPE
out_data[54] <= mem[0][54].DB_MAX_OUTPUT_PORT_TYPE
out_data[55] <= mem[0][55].DB_MAX_OUTPUT_PORT_TYPE
out_data[56] <= mem[0][56].DB_MAX_OUTPUT_PORT_TYPE
out_data[57] <= mem[0][57].DB_MAX_OUTPUT_PORT_TYPE
out_data[58] <= mem[0][58].DB_MAX_OUTPUT_PORT_TYPE
out_data[59] <= mem[0][59].DB_MAX_OUTPUT_PORT_TYPE
out_data[60] <= mem[0][60].DB_MAX_OUTPUT_PORT_TYPE
out_data[61] <= mem[0][61].DB_MAX_OUTPUT_PORT_TYPE
out_data[62] <= mem[0][62].DB_MAX_OUTPUT_PORT_TYPE
out_data[63] <= mem[0][63].DB_MAX_OUTPUT_PORT_TYPE
out_data[64] <= mem[0][64].DB_MAX_OUTPUT_PORT_TYPE
out_data[65] <= mem[0][65].DB_MAX_OUTPUT_PORT_TYPE
out_data[66] <= mem[0][66].DB_MAX_OUTPUT_PORT_TYPE
out_data[67] <= mem[0][67].DB_MAX_OUTPUT_PORT_TYPE
out_data[68] <= mem[0][68].DB_MAX_OUTPUT_PORT_TYPE
out_data[69] <= mem[0][69].DB_MAX_OUTPUT_PORT_TYPE
out_data[70] <= mem[0][70].DB_MAX_OUTPUT_PORT_TYPE
out_data[71] <= mem[0][71].DB_MAX_OUTPUT_PORT_TYPE
out_data[72] <= mem[0][72].DB_MAX_OUTPUT_PORT_TYPE
out_data[73] <= mem[0][73].DB_MAX_OUTPUT_PORT_TYPE
out_data[74] <= mem[0][74].DB_MAX_OUTPUT_PORT_TYPE
out_data[75] <= mem[0][75].DB_MAX_OUTPUT_PORT_TYPE
out_data[76] <= mem[0][76].DB_MAX_OUTPUT_PORT_TYPE
out_data[77] <= mem[0][77].DB_MAX_OUTPUT_PORT_TYPE
out_data[78] <= mem[0][78].DB_MAX_OUTPUT_PORT_TYPE
out_data[79] <= mem[0][79].DB_MAX_OUTPUT_PORT_TYPE
out_data[80] <= mem[0][80].DB_MAX_OUTPUT_PORT_TYPE
out_data[81] <= mem[0][81].DB_MAX_OUTPUT_PORT_TYPE
out_data[82] <= mem[0][82].DB_MAX_OUTPUT_PORT_TYPE
out_data[83] <= mem[0][83].DB_MAX_OUTPUT_PORT_TYPE
out_data[84] <= mem[0][84].DB_MAX_OUTPUT_PORT_TYPE
out_data[85] <= mem[0][85].DB_MAX_OUTPUT_PORT_TYPE
out_data[86] <= mem[0][86].DB_MAX_OUTPUT_PORT_TYPE
out_data[87] <= mem[0][87].DB_MAX_OUTPUT_PORT_TYPE
out_data[88] <= mem[0][88].DB_MAX_OUTPUT_PORT_TYPE
out_data[89] <= mem[0][89].DB_MAX_OUTPUT_PORT_TYPE
out_data[90] <= mem[0][90].DB_MAX_OUTPUT_PORT_TYPE
out_data[91] <= mem[0][91].DB_MAX_OUTPUT_PORT_TYPE
out_data[92] <= mem[0][92].DB_MAX_OUTPUT_PORT_TYPE
out_data[93] <= mem[0][93].DB_MAX_OUTPUT_PORT_TYPE
out_data[94] <= mem[0][94].DB_MAX_OUTPUT_PORT_TYPE
out_data[95] <= mem[0][95].DB_MAX_OUTPUT_PORT_TYPE
out_data[96] <= mem[0][96].DB_MAX_OUTPUT_PORT_TYPE
out_data[97] <= mem[0][97].DB_MAX_OUTPUT_PORT_TYPE
out_data[98] <= mem[0][98].DB_MAX_OUTPUT_PORT_TYPE
out_data[99] <= mem[0][99].DB_MAX_OUTPUT_PORT_TYPE
out_data[100] <= mem[0][100].DB_MAX_OUTPUT_PORT_TYPE
out_data[101] <= mem[0][101].DB_MAX_OUTPUT_PORT_TYPE
out_data[102] <= mem[0][102].DB_MAX_OUTPUT_PORT_TYPE
out_data[103] <= mem[0][103].DB_MAX_OUTPUT_PORT_TYPE
out_data[104] <= mem[0][104].DB_MAX_OUTPUT_PORT_TYPE
out_data[105] <= mem[0][105].DB_MAX_OUTPUT_PORT_TYPE
out_data[106] <= mem[0][106].DB_MAX_OUTPUT_PORT_TYPE
out_data[107] <= mem[0][107].DB_MAX_OUTPUT_PORT_TYPE
out_data[108] <= mem[0][108].DB_MAX_OUTPUT_PORT_TYPE
out_data[109] <= mem[0][109].DB_MAX_OUTPUT_PORT_TYPE
out_data[110] <= mem[0][110].DB_MAX_OUTPUT_PORT_TYPE
out_data[111] <= mem[0][111].DB_MAX_OUTPUT_PORT_TYPE
out_data[112] <= mem[0][112].DB_MAX_OUTPUT_PORT_TYPE
out_data[113] <= mem[0][113].DB_MAX_OUTPUT_PORT_TYPE
out_data[114] <= mem[0][114].DB_MAX_OUTPUT_PORT_TYPE
out_data[115] <= mem[0][115].DB_MAX_OUTPUT_PORT_TYPE
out_data[116] <= mem[0][116].DB_MAX_OUTPUT_PORT_TYPE
out_data[117] <= mem[0][117].DB_MAX_OUTPUT_PORT_TYPE
out_data[118] <= mem[0][118].DB_MAX_OUTPUT_PORT_TYPE
out_data[119] <= mem[0][119].DB_MAX_OUTPUT_PORT_TYPE
out_data[120] <= mem[0][120].DB_MAX_OUTPUT_PORT_TYPE
out_data[121] <= mem[0][121].DB_MAX_OUTPUT_PORT_TYPE
out_data[122] <= mem[0][122].DB_MAX_OUTPUT_PORT_TYPE
out_data[123] <= mem[0][123].DB_MAX_OUTPUT_PORT_TYPE
out_data[124] <= mem[0][124].DB_MAX_OUTPUT_PORT_TYPE
out_data[125] <= mem[0][125].DB_MAX_OUTPUT_PORT_TYPE
out_data[126] <= mem[0][126].DB_MAX_OUTPUT_PORT_TYPE
out_data[127] <= mem[0][127].DB_MAX_OUTPUT_PORT_TYPE
out_data[128] <= mem[0][128].DB_MAX_OUTPUT_PORT_TYPE
out_data[129] <= mem[0][129].DB_MAX_OUTPUT_PORT_TYPE
out_valid <= mem_used[0].DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= mem[0][131].DB_MAX_OUTPUT_PORT_TYPE
out_endofpacket <= mem[0][130].DB_MAX_OUTPUT_PORT_TYPE
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:counter_rx_fifo_s1_agent_rdata_fifo
clk => csr_readdata[0]~reg0.CLK
clk => csr_readdata[1]~reg0.CLK
clk => csr_readdata[2]~reg0.CLK
clk => csr_readdata[3]~reg0.CLK
clk => csr_readdata[4]~reg0.CLK
clk => csr_readdata[5]~reg0.CLK
clk => csr_readdata[6]~reg0.CLK
clk => csr_readdata[7]~reg0.CLK
clk => csr_readdata[8]~reg0.CLK
clk => csr_readdata[9]~reg0.CLK
clk => csr_readdata[10]~reg0.CLK
clk => csr_readdata[11]~reg0.CLK
clk => csr_readdata[12]~reg0.CLK
clk => csr_readdata[13]~reg0.CLK
clk => csr_readdata[14]~reg0.CLK
clk => csr_readdata[15]~reg0.CLK
clk => csr_readdata[16]~reg0.CLK
clk => csr_readdata[17]~reg0.CLK
clk => csr_readdata[18]~reg0.CLK
clk => csr_readdata[19]~reg0.CLK
clk => csr_readdata[20]~reg0.CLK
clk => csr_readdata[21]~reg0.CLK
clk => csr_readdata[22]~reg0.CLK
clk => csr_readdata[23]~reg0.CLK
clk => csr_readdata[24]~reg0.CLK
clk => csr_readdata[25]~reg0.CLK
clk => csr_readdata[26]~reg0.CLK
clk => csr_readdata[27]~reg0.CLK
clk => csr_readdata[28]~reg0.CLK
clk => csr_readdata[29]~reg0.CLK
clk => csr_readdata[30]~reg0.CLK
clk => csr_readdata[31]~reg0.CLK
clk => mem_used[1].CLK
clk => mem_used[0].CLK
clk => mem[1][0].CLK
clk => mem[1][1].CLK
clk => mem[1][2].CLK
clk => mem[1][3].CLK
clk => mem[1][4].CLK
clk => mem[1][5].CLK
clk => mem[1][6].CLK
clk => mem[1][7].CLK
clk => mem[1][8].CLK
clk => mem[1][9].CLK
clk => mem[1][10].CLK
clk => mem[1][11].CLK
clk => mem[1][12].CLK
clk => mem[1][13].CLK
clk => mem[1][14].CLK
clk => mem[1][15].CLK
clk => mem[1][16].CLK
clk => mem[1][17].CLK
clk => mem[1][18].CLK
clk => mem[1][19].CLK
clk => mem[1][20].CLK
clk => mem[1][21].CLK
clk => mem[1][22].CLK
clk => mem[1][23].CLK
clk => mem[1][24].CLK
clk => mem[1][25].CLK
clk => mem[1][26].CLK
clk => mem[1][27].CLK
clk => mem[1][28].CLK
clk => mem[1][29].CLK
clk => mem[1][30].CLK
clk => mem[1][31].CLK
clk => mem[1][32].CLK
clk => mem[1][33].CLK
clk => mem[0][0].CLK
clk => mem[0][1].CLK
clk => mem[0][2].CLK
clk => mem[0][3].CLK
clk => mem[0][4].CLK
clk => mem[0][5].CLK
clk => mem[0][6].CLK
clk => mem[0][7].CLK
clk => mem[0][8].CLK
clk => mem[0][9].CLK
clk => mem[0][10].CLK
clk => mem[0][11].CLK
clk => mem[0][12].CLK
clk => mem[0][13].CLK
clk => mem[0][14].CLK
clk => mem[0][15].CLK
clk => mem[0][16].CLK
clk => mem[0][17].CLK
clk => mem[0][18].CLK
clk => mem[0][19].CLK
clk => mem[0][20].CLK
clk => mem[0][21].CLK
clk => mem[0][22].CLK
clk => mem[0][23].CLK
clk => mem[0][24].CLK
clk => mem[0][25].CLK
clk => mem[0][26].CLK
clk => mem[0][27].CLK
clk => mem[0][28].CLK
clk => mem[0][29].CLK
clk => mem[0][30].CLK
clk => mem[0][31].CLK
clk => mem[0][32].CLK
clk => mem[0][33].CLK
reset => csr_readdata[0]~reg0.ACLR
reset => csr_readdata[1]~reg0.ACLR
reset => csr_readdata[2]~reg0.ACLR
reset => csr_readdata[3]~reg0.ACLR
reset => csr_readdata[4]~reg0.ACLR
reset => csr_readdata[5]~reg0.ACLR
reset => csr_readdata[6]~reg0.ACLR
reset => csr_readdata[7]~reg0.ACLR
reset => csr_readdata[8]~reg0.ACLR
reset => csr_readdata[9]~reg0.ACLR
reset => csr_readdata[10]~reg0.ACLR
reset => csr_readdata[11]~reg0.ACLR
reset => csr_readdata[12]~reg0.ACLR
reset => csr_readdata[13]~reg0.ACLR
reset => csr_readdata[14]~reg0.ACLR
reset => csr_readdata[15]~reg0.ACLR
reset => csr_readdata[16]~reg0.ACLR
reset => csr_readdata[17]~reg0.ACLR
reset => csr_readdata[18]~reg0.ACLR
reset => csr_readdata[19]~reg0.ACLR
reset => csr_readdata[20]~reg0.ACLR
reset => csr_readdata[21]~reg0.ACLR
reset => csr_readdata[22]~reg0.ACLR
reset => csr_readdata[23]~reg0.ACLR
reset => csr_readdata[24]~reg0.ACLR
reset => csr_readdata[25]~reg0.ACLR
reset => csr_readdata[26]~reg0.ACLR
reset => csr_readdata[27]~reg0.ACLR
reset => csr_readdata[28]~reg0.ACLR
reset => csr_readdata[29]~reg0.ACLR
reset => csr_readdata[30]~reg0.ACLR
reset => csr_readdata[31]~reg0.ACLR
reset => mem_used[1].ACLR
reset => mem_used[0].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[1][9].ACLR
reset => mem[1][10].ACLR
reset => mem[1][11].ACLR
reset => mem[1][12].ACLR
reset => mem[1][13].ACLR
reset => mem[1][14].ACLR
reset => mem[1][15].ACLR
reset => mem[1][16].ACLR
reset => mem[1][17].ACLR
reset => mem[1][18].ACLR
reset => mem[1][19].ACLR
reset => mem[1][20].ACLR
reset => mem[1][21].ACLR
reset => mem[1][22].ACLR
reset => mem[1][23].ACLR
reset => mem[1][24].ACLR
reset => mem[1][25].ACLR
reset => mem[1][26].ACLR
reset => mem[1][27].ACLR
reset => mem[1][28].ACLR
reset => mem[1][29].ACLR
reset => mem[1][30].ACLR
reset => mem[1][31].ACLR
reset => mem[1][32].ACLR
reset => mem[1][33].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => mem[0][9].ACLR
reset => mem[0][10].ACLR
reset => mem[0][11].ACLR
reset => mem[0][12].ACLR
reset => mem[0][13].ACLR
reset => mem[0][14].ACLR
reset => mem[0][15].ACLR
reset => mem[0][16].ACLR
reset => mem[0][17].ACLR
reset => mem[0][18].ACLR
reset => mem[0][19].ACLR
reset => mem[0][20].ACLR
reset => mem[0][21].ACLR
reset => mem[0][22].ACLR
reset => mem[0][23].ACLR
reset => mem[0][24].ACLR
reset => mem[0][25].ACLR
reset => mem[0][26].ACLR
reset => mem[0][27].ACLR
reset => mem[0][28].ACLR
reset => mem[0][29].ACLR
reset => mem[0][30].ACLR
reset => mem[0][31].ACLR
reset => mem[0][32].ACLR
reset => mem[0][33].ACLR
in_data[0] => mem.DATAB
in_data[0] => internal_out_payload.DATAB
in_data[1] => mem.DATAB
in_data[1] => internal_out_payload.DATAB
in_data[2] => mem.DATAB
in_data[2] => internal_out_payload.DATAB
in_data[3] => mem.DATAB
in_data[3] => internal_out_payload.DATAB
in_data[4] => mem.DATAB
in_data[4] => internal_out_payload.DATAB
in_data[5] => mem.DATAB
in_data[5] => internal_out_payload.DATAB
in_data[6] => mem.DATAB
in_data[6] => internal_out_payload.DATAB
in_data[7] => mem.DATAB
in_data[7] => internal_out_payload.DATAB
in_data[8] => mem.DATAB
in_data[8] => internal_out_payload.DATAB
in_data[9] => mem.DATAB
in_data[9] => internal_out_payload.DATAB
in_data[10] => mem.DATAB
in_data[10] => internal_out_payload.DATAB
in_data[11] => mem.DATAB
in_data[11] => internal_out_payload.DATAB
in_data[12] => mem.DATAB
in_data[12] => internal_out_payload.DATAB
in_data[13] => mem.DATAB
in_data[13] => internal_out_payload.DATAB
in_data[14] => mem.DATAB
in_data[14] => internal_out_payload.DATAB
in_data[15] => mem.DATAB
in_data[15] => internal_out_payload.DATAB
in_data[16] => mem.DATAB
in_data[16] => internal_out_payload.DATAB
in_data[17] => mem.DATAB
in_data[17] => internal_out_payload.DATAB
in_data[18] => mem.DATAB
in_data[18] => internal_out_payload.DATAB
in_data[19] => mem.DATAB
in_data[19] => internal_out_payload.DATAB
in_data[20] => mem.DATAB
in_data[20] => internal_out_payload.DATAB
in_data[21] => mem.DATAB
in_data[21] => internal_out_payload.DATAB
in_data[22] => mem.DATAB
in_data[22] => internal_out_payload.DATAB
in_data[23] => mem.DATAB
in_data[23] => internal_out_payload.DATAB
in_data[24] => mem.DATAB
in_data[24] => internal_out_payload.DATAB
in_data[25] => mem.DATAB
in_data[25] => internal_out_payload.DATAB
in_data[26] => mem.DATAB
in_data[26] => internal_out_payload.DATAB
in_data[27] => mem.DATAB
in_data[27] => internal_out_payload.DATAB
in_data[28] => mem.DATAB
in_data[28] => internal_out_payload.DATAB
in_data[29] => mem.DATAB
in_data[29] => internal_out_payload.DATAB
in_data[30] => mem.DATAB
in_data[30] => internal_out_payload.DATAB
in_data[31] => mem.DATAB
in_data[31] => internal_out_payload.DATAB
in_data[32] => mem.DATAB
in_data[32] => internal_out_payload.DATAB
in_data[33] => mem.DATAB
in_data[33] => internal_out_payload.DATAB
in_valid => write.IN1
in_valid => always4.IN1
in_valid => empty.IN1
in_startofpacket => ~NO_FANOUT~
in_endofpacket => ~NO_FANOUT~
in_empty[0] => ~NO_FANOUT~
in_error[0] => out_error[0].DATAIN
in_error[0] => out_empty[0].DATAIN
in_channel[0] => out_channel[0].DATAIN
in_ready <= mem_used[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= internal_out_payload.DB_MAX_OUTPUT_PORT_TYPE
out_valid <= empty.DB_MAX_OUTPUT_PORT_TYPE
out_startofpacket <= <GND>
out_endofpacket <= <GND>
out_empty[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= in_error[0].DB_MAX_OUTPUT_PORT_TYPE
out_channel[0] <= in_channel[0].DB_MAX_OUTPUT_PORT_TYPE
out_ready => internal_out_ready.IN1
csr_address[0] => ~NO_FANOUT~
csr_address[1] => ~NO_FANOUT~
csr_write => ~NO_FANOUT~
csr_read => csr_readdata[0]~reg0.ENA
csr_read => csr_readdata[31]~reg0.ENA
csr_read => csr_readdata[30]~reg0.ENA
csr_read => csr_readdata[29]~reg0.ENA
csr_read => csr_readdata[28]~reg0.ENA
csr_read => csr_readdata[27]~reg0.ENA
csr_read => csr_readdata[26]~reg0.ENA
csr_read => csr_readdata[25]~reg0.ENA
csr_read => csr_readdata[24]~reg0.ENA
csr_read => csr_readdata[23]~reg0.ENA
csr_read => csr_readdata[22]~reg0.ENA
csr_read => csr_readdata[21]~reg0.ENA
csr_read => csr_readdata[20]~reg0.ENA
csr_read => csr_readdata[19]~reg0.ENA
csr_read => csr_readdata[18]~reg0.ENA
csr_read => csr_readdata[17]~reg0.ENA
csr_read => csr_readdata[16]~reg0.ENA
csr_read => csr_readdata[15]~reg0.ENA
csr_read => csr_readdata[14]~reg0.ENA
csr_read => csr_readdata[13]~reg0.ENA
csr_read => csr_readdata[12]~reg0.ENA
csr_read => csr_readdata[11]~reg0.ENA
csr_read => csr_readdata[10]~reg0.ENA
csr_read => csr_readdata[9]~reg0.ENA
csr_read => csr_readdata[8]~reg0.ENA
csr_read => csr_readdata[7]~reg0.ENA
csr_read => csr_readdata[6]~reg0.ENA
csr_read => csr_readdata[5]~reg0.ENA
csr_read => csr_readdata[4]~reg0.ENA
csr_read => csr_readdata[3]~reg0.ENA
csr_read => csr_readdata[2]~reg0.ENA
csr_read => csr_readdata[1]~reg0.ENA
csr_writedata[0] => ~NO_FANOUT~
csr_writedata[1] => ~NO_FANOUT~
csr_writedata[2] => ~NO_FANOUT~
csr_writedata[3] => ~NO_FANOUT~
csr_writedata[4] => ~NO_FANOUT~
csr_writedata[5] => ~NO_FANOUT~
csr_writedata[6] => ~NO_FANOUT~
csr_writedata[7] => ~NO_FANOUT~
csr_writedata[8] => ~NO_FANOUT~
csr_writedata[9] => ~NO_FANOUT~
csr_writedata[10] => ~NO_FANOUT~
csr_writedata[11] => ~NO_FANOUT~
csr_writedata[12] => ~NO_FANOUT~
csr_writedata[13] => ~NO_FANOUT~
csr_writedata[14] => ~NO_FANOUT~
csr_writedata[15] => ~NO_FANOUT~
csr_writedata[16] => ~NO_FANOUT~
csr_writedata[17] => ~NO_FANOUT~
csr_writedata[18] => ~NO_FANOUT~
csr_writedata[19] => ~NO_FANOUT~
csr_writedata[20] => ~NO_FANOUT~
csr_writedata[21] => ~NO_FANOUT~
csr_writedata[22] => ~NO_FANOUT~
csr_writedata[23] => ~NO_FANOUT~
csr_writedata[24] => ~NO_FANOUT~
csr_writedata[25] => ~NO_FANOUT~
csr_writedata[26] => ~NO_FANOUT~
csr_writedata[27] => ~NO_FANOUT~
csr_writedata[28] => ~NO_FANOUT~
csr_writedata[29] => ~NO_FANOUT~
csr_writedata[30] => ~NO_FANOUT~
csr_writedata[31] => ~NO_FANOUT~
csr_readdata[0] <= csr_readdata[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[1] <= csr_readdata[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[2] <= csr_readdata[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[3] <= csr_readdata[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[4] <= csr_readdata[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[5] <= csr_readdata[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[6] <= csr_readdata[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[7] <= csr_readdata[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[8] <= csr_readdata[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[9] <= csr_readdata[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[10] <= csr_readdata[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[11] <= csr_readdata[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[12] <= csr_readdata[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[13] <= csr_readdata[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[14] <= csr_readdata[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[15] <= csr_readdata[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[16] <= csr_readdata[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[17] <= csr_readdata[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[18] <= csr_readdata[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[19] <= csr_readdata[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[20] <= csr_readdata[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[21] <= csr_readdata[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[22] <= csr_readdata[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[23] <= csr_readdata[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[24] <= csr_readdata[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[25] <= csr_readdata[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[26] <= csr_readdata[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[27] <= csr_readdata[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[28] <= csr_readdata[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[29] <= csr_readdata[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[30] <= csr_readdata[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
csr_readdata[31] <= csr_readdata[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
almost_full_data <= <GND>
almost_empty_data <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router:router
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[40] => Equal0.IN15
sink_data[40] => Equal1.IN15
sink_data[40] => Equal2.IN15
sink_data[40] => Equal3.IN15
sink_data[40] => Equal4.IN15
sink_data[40] => Equal5.IN15
sink_data[40] => Equal6.IN15
sink_data[40] => Equal7.IN15
sink_data[40] => Equal8.IN15
sink_data[40] => Equal9.IN15
sink_data[40] => Equal10.IN15
sink_data[40] => Equal11.IN15
sink_data[40] => Equal12.IN15
sink_data[40] => Equal13.IN15
sink_data[40] => Equal14.IN15
sink_data[40] => Equal15.IN15
sink_data[40] => Equal16.IN15
sink_data[40] => Equal17.IN15
sink_data[40] => Equal18.IN15
sink_data[40] => Equal19.IN15
sink_data[40] => Equal20.IN15
sink_data[40] => Equal21.IN15
sink_data[41] => src_data[41].DATAIN
sink_data[41] => Equal0.IN14
sink_data[41] => Equal1.IN14
sink_data[41] => Equal2.IN14
sink_data[41] => Equal3.IN14
sink_data[41] => Equal4.IN14
sink_data[41] => Equal5.IN14
sink_data[41] => Equal6.IN14
sink_data[41] => Equal7.IN14
sink_data[41] => Equal8.IN14
sink_data[41] => Equal9.IN14
sink_data[41] => Equal10.IN14
sink_data[41] => Equal11.IN14
sink_data[41] => Equal12.IN14
sink_data[41] => Equal13.IN14
sink_data[41] => Equal14.IN14
sink_data[41] => Equal15.IN14
sink_data[41] => Equal16.IN14
sink_data[41] => Equal17.IN14
sink_data[41] => Equal18.IN14
sink_data[41] => Equal19.IN14
sink_data[41] => Equal20.IN14
sink_data[41] => Equal21.IN14
sink_data[42] => src_data[42].DATAIN
sink_data[42] => Equal0.IN13
sink_data[42] => Equal1.IN13
sink_data[42] => Equal2.IN13
sink_data[42] => Equal3.IN13
sink_data[42] => Equal4.IN13
sink_data[42] => Equal5.IN13
sink_data[42] => Equal6.IN13
sink_data[42] => Equal7.IN13
sink_data[42] => Equal8.IN13
sink_data[42] => Equal9.IN13
sink_data[42] => Equal10.IN13
sink_data[42] => Equal11.IN13
sink_data[42] => Equal12.IN13
sink_data[42] => Equal13.IN13
sink_data[42] => Equal14.IN13
sink_data[42] => Equal15.IN13
sink_data[42] => Equal16.IN13
sink_data[42] => Equal17.IN13
sink_data[42] => Equal18.IN13
sink_data[42] => Equal19.IN13
sink_data[42] => Equal20.IN13
sink_data[42] => Equal21.IN13
sink_data[43] => src_data[43].DATAIN
sink_data[43] => Equal0.IN12
sink_data[43] => Equal1.IN12
sink_data[43] => Equal2.IN12
sink_data[43] => Equal3.IN12
sink_data[43] => Equal4.IN12
sink_data[43] => Equal5.IN12
sink_data[43] => Equal6.IN12
sink_data[43] => Equal7.IN12
sink_data[43] => Equal8.IN12
sink_data[43] => Equal9.IN12
sink_data[43] => Equal10.IN12
sink_data[43] => Equal11.IN12
sink_data[43] => Equal12.IN12
sink_data[43] => Equal13.IN12
sink_data[43] => Equal14.IN12
sink_data[43] => Equal15.IN12
sink_data[43] => Equal16.IN12
sink_data[43] => Equal17.IN12
sink_data[43] => Equal18.IN12
sink_data[43] => Equal19.IN12
sink_data[43] => Equal20.IN12
sink_data[43] => Equal21.IN12
sink_data[44] => src_data[44].DATAIN
sink_data[44] => Equal0.IN11
sink_data[44] => Equal1.IN11
sink_data[44] => Equal2.IN11
sink_data[44] => Equal3.IN11
sink_data[44] => Equal4.IN11
sink_data[44] => Equal5.IN11
sink_data[44] => Equal6.IN11
sink_data[44] => Equal7.IN11
sink_data[44] => Equal8.IN11
sink_data[44] => Equal9.IN11
sink_data[44] => Equal10.IN11
sink_data[44] => Equal11.IN11
sink_data[44] => Equal12.IN11
sink_data[44] => Equal13.IN11
sink_data[44] => Equal14.IN11
sink_data[44] => Equal15.IN11
sink_data[44] => Equal16.IN11
sink_data[44] => Equal17.IN11
sink_data[44] => Equal18.IN11
sink_data[44] => Equal19.IN11
sink_data[44] => Equal20.IN11
sink_data[44] => Equal21.IN11
sink_data[45] => src_data[45].DATAIN
sink_data[45] => Equal0.IN10
sink_data[45] => Equal1.IN10
sink_data[45] => Equal2.IN10
sink_data[45] => Equal3.IN10
sink_data[45] => Equal4.IN10
sink_data[45] => Equal5.IN10
sink_data[45] => Equal6.IN10
sink_data[45] => Equal7.IN10
sink_data[45] => Equal8.IN10
sink_data[45] => Equal9.IN10
sink_data[45] => Equal10.IN10
sink_data[45] => Equal11.IN10
sink_data[45] => Equal12.IN10
sink_data[45] => Equal13.IN10
sink_data[45] => Equal14.IN10
sink_data[45] => Equal15.IN10
sink_data[45] => Equal16.IN10
sink_data[45] => Equal17.IN10
sink_data[45] => Equal18.IN10
sink_data[45] => Equal19.IN10
sink_data[45] => Equal20.IN10
sink_data[45] => Equal21.IN10
sink_data[46] => src_data[46].DATAIN
sink_data[46] => Equal0.IN9
sink_data[46] => Equal1.IN9
sink_data[46] => Equal2.IN9
sink_data[46] => Equal3.IN9
sink_data[46] => Equal4.IN9
sink_data[46] => Equal5.IN9
sink_data[46] => Equal6.IN9
sink_data[46] => Equal7.IN9
sink_data[46] => Equal8.IN9
sink_data[46] => Equal9.IN9
sink_data[46] => Equal10.IN9
sink_data[46] => Equal11.IN9
sink_data[46] => Equal12.IN9
sink_data[46] => Equal13.IN9
sink_data[46] => Equal14.IN9
sink_data[46] => Equal15.IN9
sink_data[46] => Equal16.IN9
sink_data[46] => Equal17.IN9
sink_data[46] => Equal18.IN9
sink_data[46] => Equal19.IN9
sink_data[46] => Equal20.IN9
sink_data[46] => Equal21.IN9
sink_data[47] => src_data[47].DATAIN
sink_data[47] => Equal0.IN8
sink_data[47] => Equal1.IN8
sink_data[47] => Equal2.IN8
sink_data[47] => Equal3.IN8
sink_data[47] => Equal4.IN8
sink_data[47] => Equal5.IN8
sink_data[47] => Equal6.IN8
sink_data[47] => Equal7.IN8
sink_data[47] => Equal8.IN8
sink_data[47] => Equal9.IN8
sink_data[47] => Equal10.IN8
sink_data[47] => Equal11.IN8
sink_data[47] => Equal12.IN8
sink_data[47] => Equal13.IN8
sink_data[47] => Equal14.IN8
sink_data[47] => Equal15.IN8
sink_data[47] => Equal16.IN8
sink_data[47] => Equal17.IN8
sink_data[47] => Equal18.IN8
sink_data[47] => Equal19.IN8
sink_data[47] => Equal20.IN8
sink_data[47] => Equal21.IN8
sink_data[48] => src_data[48].DATAIN
sink_data[48] => Equal0.IN7
sink_data[48] => Equal1.IN7
sink_data[48] => Equal2.IN7
sink_data[48] => Equal3.IN7
sink_data[48] => Equal4.IN7
sink_data[48] => Equal5.IN7
sink_data[48] => Equal6.IN7
sink_data[48] => Equal7.IN7
sink_data[48] => Equal8.IN7
sink_data[48] => Equal9.IN7
sink_data[48] => Equal10.IN7
sink_data[48] => Equal11.IN7
sink_data[48] => Equal12.IN7
sink_data[48] => Equal13.IN7
sink_data[48] => Equal14.IN7
sink_data[48] => Equal15.IN7
sink_data[48] => Equal16.IN7
sink_data[48] => Equal17.IN7
sink_data[48] => Equal18.IN7
sink_data[48] => Equal19.IN7
sink_data[48] => Equal20.IN7
sink_data[48] => Equal21.IN7
sink_data[49] => src_data[49].DATAIN
sink_data[49] => Equal0.IN6
sink_data[49] => Equal1.IN6
sink_data[49] => Equal2.IN2
sink_data[49] => Equal3.IN6
sink_data[49] => Equal4.IN2
sink_data[49] => Equal5.IN6
sink_data[49] => Equal6.IN3
sink_data[49] => Equal7.IN6
sink_data[49] => Equal8.IN2
sink_data[49] => Equal9.IN6
sink_data[49] => Equal10.IN3
sink_data[49] => Equal11.IN6
sink_data[49] => Equal12.IN3
sink_data[49] => Equal13.IN6
sink_data[49] => Equal14.IN6
sink_data[49] => Equal15.IN6
sink_data[49] => Equal16.IN6
sink_data[49] => Equal17.IN6
sink_data[49] => Equal18.IN6
sink_data[49] => Equal19.IN6
sink_data[49] => Equal20.IN6
sink_data[49] => Equal21.IN6
sink_data[50] => src_data[50].DATAIN
sink_data[50] => Equal0.IN5
sink_data[50] => Equal1.IN5
sink_data[50] => Equal2.IN6
sink_data[50] => Equal3.IN5
sink_data[50] => Equal4.IN6
sink_data[50] => Equal5.IN5
sink_data[50] => Equal6.IN6
sink_data[50] => Equal7.IN5
sink_data[50] => Equal8.IN6
sink_data[50] => Equal9.IN5
sink_data[50] => Equal10.IN6
sink_data[50] => Equal11.IN5
sink_data[50] => Equal12.IN6
sink_data[50] => Equal13.IN5
sink_data[50] => Equal14.IN5
sink_data[50] => Equal15.IN5
sink_data[50] => Equal16.IN5
sink_data[50] => Equal17.IN5
sink_data[50] => Equal18.IN5
sink_data[50] => Equal19.IN5
sink_data[50] => Equal20.IN5
sink_data[50] => Equal21.IN5
sink_data[51] => src_data[51].DATAIN
sink_data[51] => Equal0.IN4
sink_data[51] => Equal1.IN4
sink_data[51] => Equal2.IN1
sink_data[51] => Equal3.IN4
sink_data[51] => Equal4.IN1
sink_data[51] => Equal5.IN4
sink_data[51] => Equal6.IN2
sink_data[51] => Equal7.IN4
sink_data[51] => Equal8.IN1
sink_data[51] => Equal9.IN4
sink_data[51] => Equal10.IN2
sink_data[51] => Equal11.IN4
sink_data[51] => Equal12.IN2
sink_data[51] => Equal13.IN4
sink_data[51] => Equal14.IN4
sink_data[51] => Equal15.IN4
sink_data[51] => Equal16.IN4
sink_data[51] => Equal17.IN4
sink_data[51] => Equal18.IN4
sink_data[51] => Equal19.IN4
sink_data[51] => Equal20.IN4
sink_data[51] => Equal21.IN4
sink_data[52] => src_data[52].DATAIN
sink_data[52] => Equal0.IN3
sink_data[52] => Equal1.IN0
sink_data[52] => Equal2.IN0
sink_data[52] => Equal3.IN3
sink_data[52] => Equal4.IN5
sink_data[52] => Equal5.IN1
sink_data[52] => Equal6.IN1
sink_data[52] => Equal7.IN3
sink_data[52] => Equal8.IN5
sink_data[52] => Equal9.IN1
sink_data[52] => Equal10.IN1
sink_data[52] => Equal11.IN3
sink_data[52] => Equal12.IN5
sink_data[52] => Equal13.IN2
sink_data[52] => Equal14.IN3
sink_data[52] => Equal15.IN1
sink_data[52] => Equal16.IN3
sink_data[52] => Equal17.IN2
sink_data[52] => Equal18.IN3
sink_data[52] => Equal19.IN2
sink_data[52] => Equal20.IN3
sink_data[52] => Equal21.IN3
sink_data[53] => src_data[53].DATAIN
sink_data[53] => Equal0.IN2
sink_data[53] => Equal1.IN3
sink_data[53] => Equal2.IN5
sink_data[53] => Equal3.IN0
sink_data[53] => Equal4.IN0
sink_data[53] => Equal5.IN0
sink_data[53] => Equal6.IN0
sink_data[53] => Equal7.IN2
sink_data[53] => Equal8.IN4
sink_data[53] => Equal9.IN3
sink_data[53] => Equal10.IN5
sink_data[53] => Equal11.IN1
sink_data[53] => Equal12.IN1
sink_data[53] => Equal13.IN1
sink_data[53] => Equal14.IN2
sink_data[53] => Equal15.IN3
sink_data[53] => Equal16.IN1
sink_data[53] => Equal17.IN1
sink_data[53] => Equal18.IN2
sink_data[53] => Equal19.IN3
sink_data[53] => Equal20.IN2
sink_data[53] => Equal21.IN2
sink_data[54] => src_data[54].DATAIN
sink_data[54] => Equal0.IN1
sink_data[54] => Equal1.IN2
sink_data[54] => Equal2.IN4
sink_data[54] => Equal3.IN2
sink_data[54] => Equal4.IN4
sink_data[54] => Equal5.IN3
sink_data[54] => Equal6.IN5
sink_data[54] => Equal7.IN0
sink_data[54] => Equal8.IN0
sink_data[54] => Equal9.IN0
sink_data[54] => Equal10.IN0
sink_data[54] => Equal11.IN0
sink_data[54] => Equal12.IN0
sink_data[54] => Equal13.IN0
sink_data[54] => Equal14.IN1
sink_data[54] => Equal15.IN2
sink_data[54] => Equal16.IN2
sink_data[54] => Equal17.IN3
sink_data[54] => Equal18.IN1
sink_data[54] => Equal19.IN1
sink_data[54] => Equal20.IN1
sink_data[54] => Equal21.IN1
sink_data[55] => src_data[55].DATAIN
sink_data[55] => Equal0.IN0
sink_data[55] => Equal1.IN1
sink_data[55] => Equal2.IN3
sink_data[55] => Equal3.IN1
sink_data[55] => Equal4.IN3
sink_data[55] => Equal5.IN2
sink_data[55] => Equal6.IN4
sink_data[55] => Equal7.IN1
sink_data[55] => Equal8.IN3
sink_data[55] => Equal9.IN2
sink_data[55] => Equal10.IN4
sink_data[55] => Equal11.IN2
sink_data[55] => Equal12.IN4
sink_data[55] => Equal13.IN3
sink_data[55] => Equal14.IN0
sink_data[55] => Equal15.IN0
sink_data[55] => Equal16.IN0
sink_data[55] => Equal17.IN0
sink_data[55] => Equal18.IN0
sink_data[55] => Equal19.IN0
sink_data[55] => Equal20.IN0
sink_data[55] => Equal21.IN0
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => ~NO_FANOUT~
sink_data[101] => ~NO_FANOUT~
sink_data[102] => ~NO_FANOUT~
sink_data[103] => ~NO_FANOUT~
sink_data[104] => ~NO_FANOUT~
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router:router|ulight_fifo_mm_interconnect_0_router_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <VCC>
default_destination_id[3] <= <VCC>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <GND>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <GND>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <VCC>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router:router_001
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[40] => Equal0.IN15
sink_data[40] => Equal1.IN15
sink_data[40] => Equal2.IN15
sink_data[40] => Equal3.IN15
sink_data[40] => Equal4.IN15
sink_data[40] => Equal5.IN15
sink_data[40] => Equal6.IN15
sink_data[40] => Equal7.IN15
sink_data[40] => Equal8.IN15
sink_data[40] => Equal9.IN15
sink_data[40] => Equal10.IN15
sink_data[40] => Equal11.IN15
sink_data[40] => Equal12.IN15
sink_data[40] => Equal13.IN15
sink_data[40] => Equal14.IN15
sink_data[40] => Equal15.IN15
sink_data[40] => Equal16.IN15
sink_data[40] => Equal17.IN15
sink_data[40] => Equal18.IN15
sink_data[40] => Equal19.IN15
sink_data[40] => Equal20.IN15
sink_data[40] => Equal21.IN15
sink_data[41] => src_data[41].DATAIN
sink_data[41] => Equal0.IN14
sink_data[41] => Equal1.IN14
sink_data[41] => Equal2.IN14
sink_data[41] => Equal3.IN14
sink_data[41] => Equal4.IN14
sink_data[41] => Equal5.IN14
sink_data[41] => Equal6.IN14
sink_data[41] => Equal7.IN14
sink_data[41] => Equal8.IN14
sink_data[41] => Equal9.IN14
sink_data[41] => Equal10.IN14
sink_data[41] => Equal11.IN14
sink_data[41] => Equal12.IN14
sink_data[41] => Equal13.IN14
sink_data[41] => Equal14.IN14
sink_data[41] => Equal15.IN14
sink_data[41] => Equal16.IN14
sink_data[41] => Equal17.IN14
sink_data[41] => Equal18.IN14
sink_data[41] => Equal19.IN14
sink_data[41] => Equal20.IN14
sink_data[41] => Equal21.IN14
sink_data[42] => src_data[42].DATAIN
sink_data[42] => Equal0.IN13
sink_data[42] => Equal1.IN13
sink_data[42] => Equal2.IN13
sink_data[42] => Equal3.IN13
sink_data[42] => Equal4.IN13
sink_data[42] => Equal5.IN13
sink_data[42] => Equal6.IN13
sink_data[42] => Equal7.IN13
sink_data[42] => Equal8.IN13
sink_data[42] => Equal9.IN13
sink_data[42] => Equal10.IN13
sink_data[42] => Equal11.IN13
sink_data[42] => Equal12.IN13
sink_data[42] => Equal13.IN13
sink_data[42] => Equal14.IN13
sink_data[42] => Equal15.IN13
sink_data[42] => Equal16.IN13
sink_data[42] => Equal17.IN13
sink_data[42] => Equal18.IN13
sink_data[42] => Equal19.IN13
sink_data[42] => Equal20.IN13
sink_data[42] => Equal21.IN13
sink_data[43] => src_data[43].DATAIN
sink_data[43] => Equal0.IN12
sink_data[43] => Equal1.IN12
sink_data[43] => Equal2.IN12
sink_data[43] => Equal3.IN12
sink_data[43] => Equal4.IN12
sink_data[43] => Equal5.IN12
sink_data[43] => Equal6.IN12
sink_data[43] => Equal7.IN12
sink_data[43] => Equal8.IN12
sink_data[43] => Equal9.IN12
sink_data[43] => Equal10.IN12
sink_data[43] => Equal11.IN12
sink_data[43] => Equal12.IN12
sink_data[43] => Equal13.IN12
sink_data[43] => Equal14.IN12
sink_data[43] => Equal15.IN12
sink_data[43] => Equal16.IN12
sink_data[43] => Equal17.IN12
sink_data[43] => Equal18.IN12
sink_data[43] => Equal19.IN12
sink_data[43] => Equal20.IN12
sink_data[43] => Equal21.IN12
sink_data[44] => src_data[44].DATAIN
sink_data[44] => Equal0.IN11
sink_data[44] => Equal1.IN11
sink_data[44] => Equal2.IN11
sink_data[44] => Equal3.IN11
sink_data[44] => Equal4.IN11
sink_data[44] => Equal5.IN11
sink_data[44] => Equal6.IN11
sink_data[44] => Equal7.IN11
sink_data[44] => Equal8.IN11
sink_data[44] => Equal9.IN11
sink_data[44] => Equal10.IN11
sink_data[44] => Equal11.IN11
sink_data[44] => Equal12.IN11
sink_data[44] => Equal13.IN11
sink_data[44] => Equal14.IN11
sink_data[44] => Equal15.IN11
sink_data[44] => Equal16.IN11
sink_data[44] => Equal17.IN11
sink_data[44] => Equal18.IN11
sink_data[44] => Equal19.IN11
sink_data[44] => Equal20.IN11
sink_data[44] => Equal21.IN11
sink_data[45] => src_data[45].DATAIN
sink_data[45] => Equal0.IN10
sink_data[45] => Equal1.IN10
sink_data[45] => Equal2.IN10
sink_data[45] => Equal3.IN10
sink_data[45] => Equal4.IN10
sink_data[45] => Equal5.IN10
sink_data[45] => Equal6.IN10
sink_data[45] => Equal7.IN10
sink_data[45] => Equal8.IN10
sink_data[45] => Equal9.IN10
sink_data[45] => Equal10.IN10
sink_data[45] => Equal11.IN10
sink_data[45] => Equal12.IN10
sink_data[45] => Equal13.IN10
sink_data[45] => Equal14.IN10
sink_data[45] => Equal15.IN10
sink_data[45] => Equal16.IN10
sink_data[45] => Equal17.IN10
sink_data[45] => Equal18.IN10
sink_data[45] => Equal19.IN10
sink_data[45] => Equal20.IN10
sink_data[45] => Equal21.IN10
sink_data[46] => src_data[46].DATAIN
sink_data[46] => Equal0.IN9
sink_data[46] => Equal1.IN9
sink_data[46] => Equal2.IN9
sink_data[46] => Equal3.IN9
sink_data[46] => Equal4.IN9
sink_data[46] => Equal5.IN9
sink_data[46] => Equal6.IN9
sink_data[46] => Equal7.IN9
sink_data[46] => Equal8.IN9
sink_data[46] => Equal9.IN9
sink_data[46] => Equal10.IN9
sink_data[46] => Equal11.IN9
sink_data[46] => Equal12.IN9
sink_data[46] => Equal13.IN9
sink_data[46] => Equal14.IN9
sink_data[46] => Equal15.IN9
sink_data[46] => Equal16.IN9
sink_data[46] => Equal17.IN9
sink_data[46] => Equal18.IN9
sink_data[46] => Equal19.IN9
sink_data[46] => Equal20.IN9
sink_data[46] => Equal21.IN9
sink_data[47] => src_data[47].DATAIN
sink_data[47] => Equal0.IN8
sink_data[47] => Equal1.IN8
sink_data[47] => Equal2.IN8
sink_data[47] => Equal3.IN8
sink_data[47] => Equal4.IN8
sink_data[47] => Equal5.IN8
sink_data[47] => Equal6.IN8
sink_data[47] => Equal7.IN8
sink_data[47] => Equal8.IN8
sink_data[47] => Equal9.IN8
sink_data[47] => Equal10.IN8
sink_data[47] => Equal11.IN8
sink_data[47] => Equal12.IN8
sink_data[47] => Equal13.IN8
sink_data[47] => Equal14.IN8
sink_data[47] => Equal15.IN8
sink_data[47] => Equal16.IN8
sink_data[47] => Equal17.IN8
sink_data[47] => Equal18.IN8
sink_data[47] => Equal19.IN8
sink_data[47] => Equal20.IN8
sink_data[47] => Equal21.IN8
sink_data[48] => src_data[48].DATAIN
sink_data[48] => Equal0.IN7
sink_data[48] => Equal1.IN7
sink_data[48] => Equal2.IN7
sink_data[48] => Equal3.IN7
sink_data[48] => Equal4.IN7
sink_data[48] => Equal5.IN7
sink_data[48] => Equal6.IN7
sink_data[48] => Equal7.IN7
sink_data[48] => Equal8.IN7
sink_data[48] => Equal9.IN7
sink_data[48] => Equal10.IN7
sink_data[48] => Equal11.IN7
sink_data[48] => Equal12.IN7
sink_data[48] => Equal13.IN7
sink_data[48] => Equal14.IN7
sink_data[48] => Equal15.IN7
sink_data[48] => Equal16.IN7
sink_data[48] => Equal17.IN7
sink_data[48] => Equal18.IN7
sink_data[48] => Equal19.IN7
sink_data[48] => Equal20.IN7
sink_data[48] => Equal21.IN7
sink_data[49] => src_data[49].DATAIN
sink_data[49] => Equal0.IN6
sink_data[49] => Equal1.IN6
sink_data[49] => Equal2.IN2
sink_data[49] => Equal3.IN6
sink_data[49] => Equal4.IN2
sink_data[49] => Equal5.IN6
sink_data[49] => Equal6.IN3
sink_data[49] => Equal7.IN6
sink_data[49] => Equal8.IN2
sink_data[49] => Equal9.IN6
sink_data[49] => Equal10.IN3
sink_data[49] => Equal11.IN6
sink_data[49] => Equal12.IN3
sink_data[49] => Equal13.IN6
sink_data[49] => Equal14.IN6
sink_data[49] => Equal15.IN6
sink_data[49] => Equal16.IN6
sink_data[49] => Equal17.IN6
sink_data[49] => Equal18.IN6
sink_data[49] => Equal19.IN6
sink_data[49] => Equal20.IN6
sink_data[49] => Equal21.IN6
sink_data[50] => src_data[50].DATAIN
sink_data[50] => Equal0.IN5
sink_data[50] => Equal1.IN5
sink_data[50] => Equal2.IN6
sink_data[50] => Equal3.IN5
sink_data[50] => Equal4.IN6
sink_data[50] => Equal5.IN5
sink_data[50] => Equal6.IN6
sink_data[50] => Equal7.IN5
sink_data[50] => Equal8.IN6
sink_data[50] => Equal9.IN5
sink_data[50] => Equal10.IN6
sink_data[50] => Equal11.IN5
sink_data[50] => Equal12.IN6
sink_data[50] => Equal13.IN5
sink_data[50] => Equal14.IN5
sink_data[50] => Equal15.IN5
sink_data[50] => Equal16.IN5
sink_data[50] => Equal17.IN5
sink_data[50] => Equal18.IN5
sink_data[50] => Equal19.IN5
sink_data[50] => Equal20.IN5
sink_data[50] => Equal21.IN5
sink_data[51] => src_data[51].DATAIN
sink_data[51] => Equal0.IN4
sink_data[51] => Equal1.IN4
sink_data[51] => Equal2.IN1
sink_data[51] => Equal3.IN4
sink_data[51] => Equal4.IN1
sink_data[51] => Equal5.IN4
sink_data[51] => Equal6.IN2
sink_data[51] => Equal7.IN4
sink_data[51] => Equal8.IN1
sink_data[51] => Equal9.IN4
sink_data[51] => Equal10.IN2
sink_data[51] => Equal11.IN4
sink_data[51] => Equal12.IN2
sink_data[51] => Equal13.IN4
sink_data[51] => Equal14.IN4
sink_data[51] => Equal15.IN4
sink_data[51] => Equal16.IN4
sink_data[51] => Equal17.IN4
sink_data[51] => Equal18.IN4
sink_data[51] => Equal19.IN4
sink_data[51] => Equal20.IN4
sink_data[51] => Equal21.IN4
sink_data[52] => src_data[52].DATAIN
sink_data[52] => Equal0.IN3
sink_data[52] => Equal1.IN0
sink_data[52] => Equal2.IN0
sink_data[52] => Equal3.IN3
sink_data[52] => Equal4.IN5
sink_data[52] => Equal5.IN1
sink_data[52] => Equal6.IN1
sink_data[52] => Equal7.IN3
sink_data[52] => Equal8.IN5
sink_data[52] => Equal9.IN1
sink_data[52] => Equal10.IN1
sink_data[52] => Equal11.IN3
sink_data[52] => Equal12.IN5
sink_data[52] => Equal13.IN2
sink_data[52] => Equal14.IN3
sink_data[52] => Equal15.IN1
sink_data[52] => Equal16.IN3
sink_data[52] => Equal17.IN2
sink_data[52] => Equal18.IN3
sink_data[52] => Equal19.IN2
sink_data[52] => Equal20.IN3
sink_data[52] => Equal21.IN3
sink_data[53] => src_data[53].DATAIN
sink_data[53] => Equal0.IN2
sink_data[53] => Equal1.IN3
sink_data[53] => Equal2.IN5
sink_data[53] => Equal3.IN0
sink_data[53] => Equal4.IN0
sink_data[53] => Equal5.IN0
sink_data[53] => Equal6.IN0
sink_data[53] => Equal7.IN2
sink_data[53] => Equal8.IN4
sink_data[53] => Equal9.IN3
sink_data[53] => Equal10.IN5
sink_data[53] => Equal11.IN1
sink_data[53] => Equal12.IN1
sink_data[53] => Equal13.IN1
sink_data[53] => Equal14.IN2
sink_data[53] => Equal15.IN3
sink_data[53] => Equal16.IN1
sink_data[53] => Equal17.IN1
sink_data[53] => Equal18.IN2
sink_data[53] => Equal19.IN3
sink_data[53] => Equal20.IN2
sink_data[53] => Equal21.IN2
sink_data[54] => src_data[54].DATAIN
sink_data[54] => Equal0.IN1
sink_data[54] => Equal1.IN2
sink_data[54] => Equal2.IN4
sink_data[54] => Equal3.IN2
sink_data[54] => Equal4.IN4
sink_data[54] => Equal5.IN3
sink_data[54] => Equal6.IN5
sink_data[54] => Equal7.IN0
sink_data[54] => Equal8.IN0
sink_data[54] => Equal9.IN0
sink_data[54] => Equal10.IN0
sink_data[54] => Equal11.IN0
sink_data[54] => Equal12.IN0
sink_data[54] => Equal13.IN0
sink_data[54] => Equal14.IN1
sink_data[54] => Equal15.IN2
sink_data[54] => Equal16.IN2
sink_data[54] => Equal17.IN3
sink_data[54] => Equal18.IN1
sink_data[54] => Equal19.IN1
sink_data[54] => Equal20.IN1
sink_data[54] => Equal21.IN1
sink_data[55] => src_data[55].DATAIN
sink_data[55] => Equal0.IN0
sink_data[55] => Equal1.IN1
sink_data[55] => Equal2.IN3
sink_data[55] => Equal3.IN1
sink_data[55] => Equal4.IN3
sink_data[55] => Equal5.IN2
sink_data[55] => Equal6.IN4
sink_data[55] => Equal7.IN1
sink_data[55] => Equal8.IN3
sink_data[55] => Equal9.IN2
sink_data[55] => Equal10.IN4
sink_data[55] => Equal11.IN2
sink_data[55] => Equal12.IN4
sink_data[55] => Equal13.IN3
sink_data[55] => Equal14.IN0
sink_data[55] => Equal15.IN0
sink_data[55] => Equal16.IN0
sink_data[55] => Equal17.IN0
sink_data[55] => Equal18.IN0
sink_data[55] => Equal19.IN0
sink_data[55] => Equal20.IN0
sink_data[55] => Equal21.IN0
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => always1.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => ~NO_FANOUT~
sink_data[101] => ~NO_FANOUT~
sink_data[102] => ~NO_FANOUT~
sink_data[103] => ~NO_FANOUT~
sink_data[104] => ~NO_FANOUT~
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_data.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router:router_001|ulight_fifo_mm_interconnect_0_router_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <VCC>
default_destination_id[3] <= <VCC>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <GND>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <GND>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <VCC>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_002
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_002|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_003
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_003|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_004
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_004|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_005
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_005|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_006
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_006|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_007
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_007|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_008
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_008|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_009
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_009|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_010
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_010|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_011
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_011|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_012
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_012|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_013
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_013|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_014
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_014|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_015
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_015|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_016
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_016|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_017
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_017|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_018
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_018|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_019
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_019|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_020
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_020|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_021
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_021|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_022
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_022|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_023
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
sink_valid => src_valid.DATAIN
sink_data[0] => src_data[0].DATAIN
sink_data[1] => src_data[1].DATAIN
sink_data[2] => src_data[2].DATAIN
sink_data[3] => src_data[3].DATAIN
sink_data[4] => src_data[4].DATAIN
sink_data[5] => src_data[5].DATAIN
sink_data[6] => src_data[6].DATAIN
sink_data[7] => src_data[7].DATAIN
sink_data[8] => src_data[8].DATAIN
sink_data[9] => src_data[9].DATAIN
sink_data[10] => src_data[10].DATAIN
sink_data[11] => src_data[11].DATAIN
sink_data[12] => src_data[12].DATAIN
sink_data[13] => src_data[13].DATAIN
sink_data[14] => src_data[14].DATAIN
sink_data[15] => src_data[15].DATAIN
sink_data[16] => src_data[16].DATAIN
sink_data[17] => src_data[17].DATAIN
sink_data[18] => src_data[18].DATAIN
sink_data[19] => src_data[19].DATAIN
sink_data[20] => src_data[20].DATAIN
sink_data[21] => src_data[21].DATAIN
sink_data[22] => src_data[22].DATAIN
sink_data[23] => src_data[23].DATAIN
sink_data[24] => src_data[24].DATAIN
sink_data[25] => src_data[25].DATAIN
sink_data[26] => src_data[26].DATAIN
sink_data[27] => src_data[27].DATAIN
sink_data[28] => src_data[28].DATAIN
sink_data[29] => src_data[29].DATAIN
sink_data[30] => src_data[30].DATAIN
sink_data[31] => src_data[31].DATAIN
sink_data[32] => src_data[32].DATAIN
sink_data[33] => src_data[33].DATAIN
sink_data[34] => src_data[34].DATAIN
sink_data[35] => src_data[35].DATAIN
sink_data[36] => src_data[36].DATAIN
sink_data[37] => src_data[37].DATAIN
sink_data[38] => src_data[38].DATAIN
sink_data[39] => src_data[39].DATAIN
sink_data[40] => src_data[40].DATAIN
sink_data[41] => src_data[41].DATAIN
sink_data[42] => src_data[42].DATAIN
sink_data[43] => src_data[43].DATAIN
sink_data[44] => src_data[44].DATAIN
sink_data[45] => src_data[45].DATAIN
sink_data[46] => src_data[46].DATAIN
sink_data[47] => src_data[47].DATAIN
sink_data[48] => src_data[48].DATAIN
sink_data[49] => src_data[49].DATAIN
sink_data[50] => src_data[50].DATAIN
sink_data[51] => src_data[51].DATAIN
sink_data[52] => src_data[52].DATAIN
sink_data[53] => src_data[53].DATAIN
sink_data[54] => src_data[54].DATAIN
sink_data[55] => src_data[55].DATAIN
sink_data[56] => src_data[56].DATAIN
sink_data[57] => src_data[57].DATAIN
sink_data[58] => src_data[58].DATAIN
sink_data[59] => src_data[59].DATAIN
sink_data[60] => src_data[60].DATAIN
sink_data[61] => src_data[61].DATAIN
sink_data[62] => src_data[62].DATAIN
sink_data[63] => src_data[63].DATAIN
sink_data[64] => src_data[64].DATAIN
sink_data[65] => src_data[65].DATAIN
sink_data[66] => src_data[66].DATAIN
sink_data[67] => src_data[67].DATAIN
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => src_channel.OUTPUTSELECT
sink_data[68] => always0.IN1
sink_data[68] => src_data[68].DATAIN
sink_data[69] => always0.IN1
sink_data[69] => src_data[69].DATAIN
sink_data[70] => src_data[70].DATAIN
sink_data[71] => src_data[71].DATAIN
sink_data[72] => src_data[72].DATAIN
sink_data[73] => src_data[73].DATAIN
sink_data[74] => src_data[74].DATAIN
sink_data[75] => src_data[75].DATAIN
sink_data[76] => src_data[76].DATAIN
sink_data[77] => src_data[77].DATAIN
sink_data[78] => src_data[78].DATAIN
sink_data[79] => src_data[79].DATAIN
sink_data[80] => src_data[80].DATAIN
sink_data[81] => src_data[81].DATAIN
sink_data[82] => src_data[82].DATAIN
sink_data[83] => src_data[83].DATAIN
sink_data[84] => src_data[84].DATAIN
sink_data[85] => src_data[85].DATAIN
sink_data[86] => src_data[86].DATAIN
sink_data[87] => src_data[87].DATAIN
sink_data[88] => src_data[88].DATAIN
sink_data[89] => src_data[89].DATAIN
sink_data[90] => src_data[90].DATAIN
sink_data[91] => src_data[91].DATAIN
sink_data[92] => src_data[92].DATAIN
sink_data[93] => src_data[93].DATAIN
sink_data[94] => src_data[94].DATAIN
sink_data[95] => src_data[95].DATAIN
sink_data[96] => src_data[96].DATAIN
sink_data[97] => src_data[97].DATAIN
sink_data[98] => src_data[98].DATAIN
sink_data[99] => src_data[99].DATAIN
sink_data[100] => src_data[100].DATAIN
sink_data[100] => Equal0.IN31
sink_data[101] => src_data[101].DATAIN
sink_data[101] => Equal0.IN30
sink_data[102] => src_data[102].DATAIN
sink_data[102] => Equal0.IN29
sink_data[103] => src_data[103].DATAIN
sink_data[103] => Equal0.IN28
sink_data[104] => src_data[104].DATAIN
sink_data[104] => Equal0.IN27
sink_data[105] => src_data[105].DATAIN
sink_data[106] => src_data[106].DATAIN
sink_data[107] => src_data[107].DATAIN
sink_data[108] => src_data[108].DATAIN
sink_data[109] => src_data[109].DATAIN
sink_data[110] => src_data[110].DATAIN
sink_data[111] => src_data[111].DATAIN
sink_data[112] => src_data[112].DATAIN
sink_data[113] => src_data[113].DATAIN
sink_data[114] => src_data[114].DATAIN
sink_data[115] => src_data[115].DATAIN
sink_data[116] => src_data[116].DATAIN
sink_data[117] => src_data[117].DATAIN
sink_data[118] => src_data[118].DATAIN
sink_data[119] => src_data[119].DATAIN
sink_data[120] => src_data[120].DATAIN
sink_data[121] => src_data[121].DATAIN
sink_data[122] => src_data[122].DATAIN
sink_data[123] => src_data[123].DATAIN
sink_data[124] => src_data[124].DATAIN
sink_data[125] => src_data[125].DATAIN
sink_data[126] => src_data[126].DATAIN
sink_data[127] => src_data[127].DATAIN
sink_data[128] => src_data[128].DATAIN
sink_startofpacket => src_startofpacket.DATAIN
sink_endofpacket => src_endofpacket.DATAIN
sink_ready <= src_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= sink_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_channel.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src_ready => sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_router_002:router_023|ulight_fifo_mm_interconnect_0_router_002_default_decode:the_default_decode
default_destination_id[0] <= <GND>
default_destination_id[1] <= <GND>
default_destination_id[2] <= <GND>
default_destination_id[3] <= <GND>
default_destination_id[4] <= <GND>
default_wr_channel[0] <= <VCC>
default_wr_channel[1] <= <GND>
default_wr_channel[2] <= <GND>
default_wr_channel[3] <= <GND>
default_wr_channel[4] <= <GND>
default_wr_channel[5] <= <GND>
default_wr_channel[6] <= <GND>
default_wr_channel[7] <= <GND>
default_wr_channel[8] <= <GND>
default_wr_channel[9] <= <GND>
default_wr_channel[10] <= <GND>
default_wr_channel[11] <= <GND>
default_wr_channel[12] <= <GND>
default_wr_channel[13] <= <GND>
default_wr_channel[14] <= <GND>
default_wr_channel[15] <= <GND>
default_wr_channel[16] <= <GND>
default_wr_channel[17] <= <GND>
default_wr_channel[18] <= <GND>
default_wr_channel[19] <= <GND>
default_wr_channel[20] <= <GND>
default_wr_channel[21] <= <GND>
default_rd_channel[0] <= <GND>
default_rd_channel[1] <= <VCC>
default_rd_channel[2] <= <GND>
default_rd_channel[3] <= <GND>
default_rd_channel[4] <= <GND>
default_rd_channel[5] <= <GND>
default_rd_channel[6] <= <GND>
default_rd_channel[7] <= <GND>
default_rd_channel[8] <= <GND>
default_rd_channel[9] <= <GND>
default_rd_channel[10] <= <GND>
default_rd_channel[11] <= <GND>
default_rd_channel[12] <= <GND>
default_rd_channel[13] <= <GND>
default_rd_channel[14] <= <GND>
default_rd_channel[15] <= <GND>
default_rd_channel[16] <= <GND>
default_rd_channel[17] <= <GND>
default_rd_channel[18] <= <GND>
default_rd_channel[19] <= <GND>
default_rd_channel[20] <= <GND>
default_rd_channel[21] <= <GND>
default_src_channel[0] <= <GND>
default_src_channel[1] <= <GND>
default_src_channel[2] <= <GND>
default_src_channel[3] <= <GND>
default_src_channel[4] <= <GND>
default_src_channel[5] <= <GND>
default_src_channel[6] <= <GND>
default_src_channel[7] <= <GND>
default_src_channel[8] <= <GND>
default_src_channel[9] <= <GND>
default_src_channel[10] <= <GND>
default_src_channel[11] <= <GND>
default_src_channel[12] <= <GND>
default_src_channel[13] <= <GND>
default_src_channel[14] <= <GND>
default_src_channel[15] <= <GND>
default_src_channel[16] <= <GND>
default_src_channel[17] <= <GND>
default_src_channel[18] <= <GND>
default_src_channel[19] <= <GND>
default_src_channel[20] <= <GND>
default_src_channel[21] <= <GND>


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:hps_0_h2f_axi_master_wr_limiter
clk => has_pending_responses.CLK
clk => pending_response_count[0].CLK
clk => pending_response_count[1].CLK
clk => last_channel[0].CLK
clk => last_channel[1].CLK
clk => last_channel[2].CLK
clk => last_channel[3].CLK
clk => last_channel[4].CLK
clk => last_channel[5].CLK
clk => last_channel[6].CLK
clk => last_channel[7].CLK
clk => last_channel[8].CLK
clk => last_channel[9].CLK
clk => last_channel[10].CLK
clk => last_channel[11].CLK
clk => last_channel[12].CLK
clk => last_channel[13].CLK
clk => last_channel[14].CLK
clk => last_channel[15].CLK
clk => last_channel[16].CLK
clk => last_channel[17].CLK
clk => last_channel[18].CLK
clk => last_channel[19].CLK
clk => last_channel[20].CLK
clk => last_channel[21].CLK
clk => last_dest_id[0].CLK
clk => last_dest_id[1].CLK
clk => last_dest_id[2].CLK
clk => last_dest_id[3].CLK
clk => last_dest_id[4].CLK
reset => has_pending_responses.ACLR
reset => pending_response_count[0].ACLR
reset => pending_response_count[1].ACLR
reset => last_channel[0].ACLR
reset => last_channel[1].ACLR
reset => last_channel[2].ACLR
reset => last_channel[3].ACLR
reset => last_channel[4].ACLR
reset => last_channel[5].ACLR
reset => last_channel[6].ACLR
reset => last_channel[7].ACLR
reset => last_channel[8].ACLR
reset => last_channel[9].ACLR
reset => last_channel[10].ACLR
reset => last_channel[11].ACLR
reset => last_channel[12].ACLR
reset => last_channel[13].ACLR
reset => last_channel[14].ACLR
reset => last_channel[15].ACLR
reset => last_channel[16].ACLR
reset => last_channel[17].ACLR
reset => last_channel[18].ACLR
reset => last_channel[19].ACLR
reset => last_channel[20].ACLR
reset => last_channel[21].ACLR
reset => last_dest_id[0].ACLR
reset => last_dest_id[1].ACLR
reset => last_dest_id[2].ACLR
reset => last_dest_id[3].ACLR
reset => last_dest_id[4].ACLR
cmd_sink_valid => wide_valid[0].IN0
cmd_sink_valid => wide_valid[1].IN0
cmd_sink_valid => wide_valid[2].IN0
cmd_sink_valid => wide_valid[3].IN0
cmd_sink_valid => wide_valid[4].IN0
cmd_sink_valid => wide_valid[5].IN0
cmd_sink_valid => wide_valid[6].IN0
cmd_sink_valid => wide_valid[7].IN0
cmd_sink_valid => wide_valid[8].IN0
cmd_sink_valid => wide_valid[9].IN0
cmd_sink_valid => wide_valid[10].IN0
cmd_sink_valid => wide_valid[11].IN0
cmd_sink_valid => wide_valid[12].IN0
cmd_sink_valid => wide_valid[13].IN0
cmd_sink_valid => wide_valid[14].IN0
cmd_sink_valid => wide_valid[15].IN0
cmd_sink_valid => wide_valid[16].IN0
cmd_sink_valid => wide_valid[17].IN0
cmd_sink_valid => wide_valid[18].IN0
cmd_sink_valid => wide_valid[19].IN0
cmd_sink_valid => wide_valid[20].IN0
cmd_sink_valid => wide_valid[21].IN0
cmd_sink_valid => internal_valid.DATAA
cmd_sink_valid => save_dest_id.IN1
cmd_sink_data[0] => cmd_src_data[0].DATAIN
cmd_sink_data[1] => cmd_src_data[1].DATAIN
cmd_sink_data[2] => cmd_src_data[2].DATAIN
cmd_sink_data[3] => cmd_src_data[3].DATAIN
cmd_sink_data[4] => cmd_src_data[4].DATAIN
cmd_sink_data[5] => cmd_src_data[5].DATAIN
cmd_sink_data[6] => cmd_src_data[6].DATAIN
cmd_sink_data[7] => cmd_src_data[7].DATAIN
cmd_sink_data[8] => cmd_src_data[8].DATAIN
cmd_sink_data[9] => cmd_src_data[9].DATAIN
cmd_sink_data[10] => cmd_src_data[10].DATAIN
cmd_sink_data[11] => cmd_src_data[11].DATAIN
cmd_sink_data[12] => cmd_src_data[12].DATAIN
cmd_sink_data[13] => cmd_src_data[13].DATAIN
cmd_sink_data[14] => cmd_src_data[14].DATAIN
cmd_sink_data[15] => cmd_src_data[15].DATAIN
cmd_sink_data[16] => cmd_src_data[16].DATAIN
cmd_sink_data[17] => cmd_src_data[17].DATAIN
cmd_sink_data[18] => cmd_src_data[18].DATAIN
cmd_sink_data[19] => cmd_src_data[19].DATAIN
cmd_sink_data[20] => cmd_src_data[20].DATAIN
cmd_sink_data[21] => cmd_src_data[21].DATAIN
cmd_sink_data[22] => cmd_src_data[22].DATAIN
cmd_sink_data[23] => cmd_src_data[23].DATAIN
cmd_sink_data[24] => cmd_src_data[24].DATAIN
cmd_sink_data[25] => cmd_src_data[25].DATAIN
cmd_sink_data[26] => cmd_src_data[26].DATAIN
cmd_sink_data[27] => cmd_src_data[27].DATAIN
cmd_sink_data[28] => cmd_src_data[28].DATAIN
cmd_sink_data[29] => cmd_src_data[29].DATAIN
cmd_sink_data[30] => cmd_src_data[30].DATAIN
cmd_sink_data[31] => cmd_src_data[31].DATAIN
cmd_sink_data[32] => cmd_src_data[32].DATAIN
cmd_sink_data[33] => cmd_src_data[33].DATAIN
cmd_sink_data[34] => cmd_src_data[34].DATAIN
cmd_sink_data[35] => cmd_src_data[35].DATAIN
cmd_sink_data[36] => cmd_src_data[36].DATAIN
cmd_sink_data[37] => cmd_src_data[37].DATAIN
cmd_sink_data[38] => cmd_src_data[38].DATAIN
cmd_sink_data[39] => cmd_src_data[39].DATAIN
cmd_sink_data[40] => cmd_src_data[40].DATAIN
cmd_sink_data[41] => cmd_src_data[41].DATAIN
cmd_sink_data[42] => cmd_src_data[42].DATAIN
cmd_sink_data[43] => cmd_src_data[43].DATAIN
cmd_sink_data[44] => cmd_src_data[44].DATAIN
cmd_sink_data[45] => cmd_src_data[45].DATAIN
cmd_sink_data[46] => cmd_src_data[46].DATAIN
cmd_sink_data[47] => cmd_src_data[47].DATAIN
cmd_sink_data[48] => cmd_src_data[48].DATAIN
cmd_sink_data[49] => cmd_src_data[49].DATAIN
cmd_sink_data[50] => cmd_src_data[50].DATAIN
cmd_sink_data[51] => cmd_src_data[51].DATAIN
cmd_sink_data[52] => cmd_src_data[52].DATAIN
cmd_sink_data[53] => cmd_src_data[53].DATAIN
cmd_sink_data[54] => cmd_src_data[54].DATAIN
cmd_sink_data[55] => cmd_src_data[55].DATAIN
cmd_sink_data[56] => cmd_src_data[56].DATAIN
cmd_sink_data[57] => cmd_src_data[57].DATAIN
cmd_sink_data[58] => cmd_src_data[58].DATAIN
cmd_sink_data[59] => cmd_src_data[59].DATAIN
cmd_sink_data[60] => cmd_src_data[60].DATAIN
cmd_sink_data[61] => cmd_src_data[61].DATAIN
cmd_sink_data[62] => cmd_src_data[62].DATAIN
cmd_sink_data[63] => cmd_src_data[63].DATAIN
cmd_sink_data[64] => cmd_src_data[64].DATAIN
cmd_sink_data[65] => cmd_src_data[65].DATAIN
cmd_sink_data[66] => cmd_src_data[66].DATAIN
cmd_sink_data[67] => cmd_src_data[67].DATAIN
cmd_sink_data[67] => save_dest_id.IN1
cmd_sink_data[67] => nonposted_cmd_accepted.IN1
cmd_sink_data[67] => suppress_change_dest_id.IN1
cmd_sink_data[68] => cmd_src_data[68].DATAIN
cmd_sink_data[69] => cmd_src_data[69].DATAIN
cmd_sink_data[70] => cmd_src_data[70].DATAIN
cmd_sink_data[71] => cmd_src_data[71].DATAIN
cmd_sink_data[72] => cmd_src_data[72].DATAIN
cmd_sink_data[73] => cmd_src_data[73].DATAIN
cmd_sink_data[74] => cmd_src_data[74].DATAIN
cmd_sink_data[75] => cmd_src_data[75].DATAIN
cmd_sink_data[76] => cmd_src_data[76].DATAIN
cmd_sink_data[77] => cmd_src_data[77].DATAIN
cmd_sink_data[78] => cmd_src_data[78].DATAIN
cmd_sink_data[79] => cmd_src_data[79].DATAIN
cmd_sink_data[80] => cmd_src_data[80].DATAIN
cmd_sink_data[81] => cmd_src_data[81].DATAIN
cmd_sink_data[82] => cmd_src_data[82].DATAIN
cmd_sink_data[83] => cmd_src_data[83].DATAIN
cmd_sink_data[84] => cmd_src_data[84].DATAIN
cmd_sink_data[85] => cmd_src_data[85].DATAIN
cmd_sink_data[86] => cmd_src_data[86].DATAIN
cmd_sink_data[87] => cmd_src_data[87].DATAIN
cmd_sink_data[88] => cmd_src_data[88].DATAIN
cmd_sink_data[89] => cmd_src_data[89].DATAIN
cmd_sink_data[90] => cmd_src_data[90].DATAIN
cmd_sink_data[91] => cmd_src_data[91].DATAIN
cmd_sink_data[92] => cmd_src_data[92].DATAIN
cmd_sink_data[93] => cmd_src_data[93].DATAIN
cmd_sink_data[94] => cmd_src_data[94].DATAIN
cmd_sink_data[95] => cmd_src_data[95].DATAIN
cmd_sink_data[96] => cmd_src_data[96].DATAIN
cmd_sink_data[97] => cmd_src_data[97].DATAIN
cmd_sink_data[98] => cmd_src_data[98].DATAIN
cmd_sink_data[99] => cmd_src_data[99].DATAIN
cmd_sink_data[100] => Equal0.IN4
cmd_sink_data[100] => cmd_src_data[100].DATAIN
cmd_sink_data[100] => last_dest_id[0].DATAIN
cmd_sink_data[101] => Equal0.IN3
cmd_sink_data[101] => cmd_src_data[101].DATAIN
cmd_sink_data[101] => last_dest_id[1].DATAIN
cmd_sink_data[102] => Equal0.IN2
cmd_sink_data[102] => cmd_src_data[102].DATAIN
cmd_sink_data[102] => last_dest_id[2].DATAIN
cmd_sink_data[103] => Equal0.IN1
cmd_sink_data[103] => cmd_src_data[103].DATAIN
cmd_sink_data[103] => last_dest_id[3].DATAIN
cmd_sink_data[104] => Equal0.IN0
cmd_sink_data[104] => cmd_src_data[104].DATAIN
cmd_sink_data[104] => last_dest_id[4].DATAIN
cmd_sink_data[105] => cmd_src_data[105].DATAIN
cmd_sink_data[106] => cmd_src_data[106].DATAIN
cmd_sink_data[107] => cmd_src_data[107].DATAIN
cmd_sink_data[108] => cmd_src_data[108].DATAIN
cmd_sink_data[109] => cmd_src_data[109].DATAIN
cmd_sink_data[110] => cmd_src_data[110].DATAIN
cmd_sink_data[111] => cmd_src_data[111].DATAIN
cmd_sink_data[112] => cmd_src_data[112].DATAIN
cmd_sink_data[113] => cmd_src_data[113].DATAIN
cmd_sink_data[114] => cmd_src_data[114].DATAIN
cmd_sink_data[115] => cmd_src_data[115].DATAIN
cmd_sink_data[116] => cmd_src_data[116].DATAIN
cmd_sink_data[117] => cmd_src_data[117].DATAIN
cmd_sink_data[118] => cmd_src_data[118].DATAIN
cmd_sink_data[119] => cmd_src_data[119].DATAIN
cmd_sink_data[120] => cmd_src_data[120].DATAIN
cmd_sink_data[121] => cmd_src_data[121].DATAIN
cmd_sink_data[122] => cmd_src_data[122].DATAIN
cmd_sink_data[123] => cmd_src_data[123].DATAIN
cmd_sink_data[124] => cmd_src_data[124].DATAIN
cmd_sink_data[125] => cmd_src_data[125].DATAIN
cmd_sink_data[126] => cmd_src_data[126].DATAIN
cmd_sink_data[127] => cmd_src_data[127].DATAIN
cmd_sink_data[128] => cmd_src_data[128].DATAIN
cmd_sink_channel[0] => wide_valid[0].IN1
cmd_sink_channel[0] => cmd_src_channel[0].DATAIN
cmd_sink_channel[0] => last_channel[0].DATAIN
cmd_sink_channel[1] => wide_valid[1].IN1
cmd_sink_channel[1] => cmd_src_channel[1].DATAIN
cmd_sink_channel[1] => last_channel[1].DATAIN
cmd_sink_channel[2] => wide_valid[2].IN1
cmd_sink_channel[2] => cmd_src_channel[2].DATAIN
cmd_sink_channel[2] => last_channel[2].DATAIN
cmd_sink_channel[3] => wide_valid[3].IN1
cmd_sink_channel[3] => cmd_src_channel[3].DATAIN
cmd_sink_channel[3] => last_channel[3].DATAIN
cmd_sink_channel[4] => wide_valid[4].IN1
cmd_sink_channel[4] => cmd_src_channel[4].DATAIN
cmd_sink_channel[4] => last_channel[4].DATAIN
cmd_sink_channel[5] => wide_valid[5].IN1
cmd_sink_channel[5] => cmd_src_channel[5].DATAIN
cmd_sink_channel[5] => last_channel[5].DATAIN
cmd_sink_channel[6] => wide_valid[6].IN1
cmd_sink_channel[6] => cmd_src_channel[6].DATAIN
cmd_sink_channel[6] => last_channel[6].DATAIN
cmd_sink_channel[7] => wide_valid[7].IN1
cmd_sink_channel[7] => cmd_src_channel[7].DATAIN
cmd_sink_channel[7] => last_channel[7].DATAIN
cmd_sink_channel[8] => wide_valid[8].IN1
cmd_sink_channel[8] => cmd_src_channel[8].DATAIN
cmd_sink_channel[8] => last_channel[8].DATAIN
cmd_sink_channel[9] => wide_valid[9].IN1
cmd_sink_channel[9] => cmd_src_channel[9].DATAIN
cmd_sink_channel[9] => last_channel[9].DATAIN
cmd_sink_channel[10] => wide_valid[10].IN1
cmd_sink_channel[10] => cmd_src_channel[10].DATAIN
cmd_sink_channel[10] => last_channel[10].DATAIN
cmd_sink_channel[11] => wide_valid[11].IN1
cmd_sink_channel[11] => cmd_src_channel[11].DATAIN
cmd_sink_channel[11] => last_channel[11].DATAIN
cmd_sink_channel[12] => wide_valid[12].IN1
cmd_sink_channel[12] => cmd_src_channel[12].DATAIN
cmd_sink_channel[12] => last_channel[12].DATAIN
cmd_sink_channel[13] => wide_valid[13].IN1
cmd_sink_channel[13] => cmd_src_channel[13].DATAIN
cmd_sink_channel[13] => last_channel[13].DATAIN
cmd_sink_channel[14] => wide_valid[14].IN1
cmd_sink_channel[14] => cmd_src_channel[14].DATAIN
cmd_sink_channel[14] => last_channel[14].DATAIN
cmd_sink_channel[15] => wide_valid[15].IN1
cmd_sink_channel[15] => cmd_src_channel[15].DATAIN
cmd_sink_channel[15] => last_channel[15].DATAIN
cmd_sink_channel[16] => wide_valid[16].IN1
cmd_sink_channel[16] => cmd_src_channel[16].DATAIN
cmd_sink_channel[16] => last_channel[16].DATAIN
cmd_sink_channel[17] => wide_valid[17].IN1
cmd_sink_channel[17] => cmd_src_channel[17].DATAIN
cmd_sink_channel[17] => last_channel[17].DATAIN
cmd_sink_channel[18] => wide_valid[18].IN1
cmd_sink_channel[18] => cmd_src_channel[18].DATAIN
cmd_sink_channel[18] => last_channel[18].DATAIN
cmd_sink_channel[19] => wide_valid[19].IN1
cmd_sink_channel[19] => cmd_src_channel[19].DATAIN
cmd_sink_channel[19] => last_channel[19].DATAIN
cmd_sink_channel[20] => wide_valid[20].IN1
cmd_sink_channel[20] => cmd_src_channel[20].DATAIN
cmd_sink_channel[20] => last_channel[20].DATAIN
cmd_sink_channel[21] => wide_valid[21].IN1
cmd_sink_channel[21] => cmd_src_channel[21].DATAIN
cmd_sink_channel[21] => last_channel[21].DATAIN
cmd_sink_startofpacket => cmd_src_startofpacket.DATAIN
cmd_sink_endofpacket => nonposted_cmd_accepted.IN0
cmd_sink_endofpacket => cmd_src_endofpacket.DATAIN
cmd_sink_ready <= stage2_ready.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[0] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[1] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[2] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[3] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[4] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[5] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[6] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[7] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[8] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[9] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[10] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[11] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[12] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[13] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[14] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[15] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[16] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[17] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[18] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[19] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[20] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[21] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[0] <= cmd_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[1] <= cmd_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[2] <= cmd_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[3] <= cmd_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[4] <= cmd_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[5] <= cmd_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[6] <= cmd_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[7] <= cmd_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[8] <= cmd_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[9] <= cmd_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[10] <= cmd_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[11] <= cmd_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[12] <= cmd_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[13] <= cmd_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[14] <= cmd_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[15] <= cmd_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[16] <= cmd_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[17] <= cmd_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[18] <= cmd_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[19] <= cmd_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[20] <= cmd_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[21] <= cmd_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[22] <= cmd_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[23] <= cmd_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[24] <= cmd_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[25] <= cmd_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[26] <= cmd_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[27] <= cmd_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[28] <= cmd_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[29] <= cmd_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[30] <= cmd_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[31] <= cmd_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[32] <= cmd_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[33] <= cmd_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[34] <= cmd_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[35] <= cmd_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[36] <= cmd_sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[37] <= cmd_sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[38] <= cmd_sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[39] <= cmd_sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[40] <= cmd_sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[41] <= cmd_sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[42] <= cmd_sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[43] <= cmd_sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[44] <= cmd_sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[45] <= cmd_sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[46] <= cmd_sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[47] <= cmd_sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[48] <= cmd_sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[49] <= cmd_sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[50] <= cmd_sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[51] <= cmd_sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[52] <= cmd_sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[53] <= cmd_sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[54] <= cmd_sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[55] <= cmd_sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[56] <= cmd_sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[57] <= cmd_sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[58] <= cmd_sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[59] <= cmd_sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[60] <= cmd_sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[61] <= cmd_sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[62] <= cmd_sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[63] <= cmd_sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[64] <= cmd_sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[65] <= cmd_sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[66] <= cmd_sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[67] <= cmd_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[68] <= cmd_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[69] <= cmd_sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[70] <= cmd_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[71] <= cmd_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[72] <= cmd_sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[73] <= cmd_sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[74] <= cmd_sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[75] <= cmd_sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[76] <= cmd_sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[77] <= cmd_sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[78] <= cmd_sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[79] <= cmd_sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[80] <= cmd_sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[81] <= cmd_sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[82] <= cmd_sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[83] <= cmd_sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[84] <= cmd_sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[85] <= cmd_sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[86] <= cmd_sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[87] <= cmd_sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[88] <= cmd_sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[89] <= cmd_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[90] <= cmd_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[91] <= cmd_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[92] <= cmd_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[93] <= cmd_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[94] <= cmd_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[95] <= cmd_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[96] <= cmd_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[97] <= cmd_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[98] <= cmd_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[99] <= cmd_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[100] <= cmd_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[101] <= cmd_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[102] <= cmd_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[103] <= cmd_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[104] <= cmd_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[105] <= cmd_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[106] <= cmd_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[107] <= cmd_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[108] <= cmd_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[109] <= cmd_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[110] <= cmd_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[111] <= cmd_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[112] <= cmd_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[113] <= cmd_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[114] <= cmd_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[115] <= cmd_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[116] <= cmd_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[117] <= cmd_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[118] <= cmd_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[119] <= cmd_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[120] <= cmd_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[121] <= cmd_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[122] <= cmd_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[123] <= cmd_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[124] <= cmd_sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[125] <= cmd_sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[126] <= cmd_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[127] <= cmd_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[128] <= cmd_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[0] <= cmd_sink_channel[0].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[1] <= cmd_sink_channel[1].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[2] <= cmd_sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[3] <= cmd_sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[4] <= cmd_sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[5] <= cmd_sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[6] <= cmd_sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[7] <= cmd_sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[8] <= cmd_sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[9] <= cmd_sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[10] <= cmd_sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[11] <= cmd_sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[12] <= cmd_sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[13] <= cmd_sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[14] <= cmd_sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[15] <= cmd_sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[16] <= cmd_sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[17] <= cmd_sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[18] <= cmd_sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[19] <= cmd_sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[20] <= cmd_sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[21] <= cmd_sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_startofpacket <= cmd_sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_endofpacket <= cmd_sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_ready => nonposted_cmd_accepted.IN1
cmd_src_ready => stage2_ready.DATAA
rsp_sink_valid => response_sink_accepted.IN0
rsp_sink_valid => rsp_src_valid.DATAIN
rsp_sink_data[0] => rsp_src_data[0].DATAIN
rsp_sink_data[1] => rsp_src_data[1].DATAIN
rsp_sink_data[2] => rsp_src_data[2].DATAIN
rsp_sink_data[3] => rsp_src_data[3].DATAIN
rsp_sink_data[4] => rsp_src_data[4].DATAIN
rsp_sink_data[5] => rsp_src_data[5].DATAIN
rsp_sink_data[6] => rsp_src_data[6].DATAIN
rsp_sink_data[7] => rsp_src_data[7].DATAIN
rsp_sink_data[8] => rsp_src_data[8].DATAIN
rsp_sink_data[9] => rsp_src_data[9].DATAIN
rsp_sink_data[10] => rsp_src_data[10].DATAIN
rsp_sink_data[11] => rsp_src_data[11].DATAIN
rsp_sink_data[12] => rsp_src_data[12].DATAIN
rsp_sink_data[13] => rsp_src_data[13].DATAIN
rsp_sink_data[14] => rsp_src_data[14].DATAIN
rsp_sink_data[15] => rsp_src_data[15].DATAIN
rsp_sink_data[16] => rsp_src_data[16].DATAIN
rsp_sink_data[17] => rsp_src_data[17].DATAIN
rsp_sink_data[18] => rsp_src_data[18].DATAIN
rsp_sink_data[19] => rsp_src_data[19].DATAIN
rsp_sink_data[20] => rsp_src_data[20].DATAIN
rsp_sink_data[21] => rsp_src_data[21].DATAIN
rsp_sink_data[22] => rsp_src_data[22].DATAIN
rsp_sink_data[23] => rsp_src_data[23].DATAIN
rsp_sink_data[24] => rsp_src_data[24].DATAIN
rsp_sink_data[25] => rsp_src_data[25].DATAIN
rsp_sink_data[26] => rsp_src_data[26].DATAIN
rsp_sink_data[27] => rsp_src_data[27].DATAIN
rsp_sink_data[28] => rsp_src_data[28].DATAIN
rsp_sink_data[29] => rsp_src_data[29].DATAIN
rsp_sink_data[30] => rsp_src_data[30].DATAIN
rsp_sink_data[31] => rsp_src_data[31].DATAIN
rsp_sink_data[32] => rsp_src_data[32].DATAIN
rsp_sink_data[33] => rsp_src_data[33].DATAIN
rsp_sink_data[34] => rsp_src_data[34].DATAIN
rsp_sink_data[35] => rsp_src_data[35].DATAIN
rsp_sink_data[36] => rsp_src_data[36].DATAIN
rsp_sink_data[37] => rsp_src_data[37].DATAIN
rsp_sink_data[38] => rsp_src_data[38].DATAIN
rsp_sink_data[39] => rsp_src_data[39].DATAIN
rsp_sink_data[40] => rsp_src_data[40].DATAIN
rsp_sink_data[41] => rsp_src_data[41].DATAIN
rsp_sink_data[42] => rsp_src_data[42].DATAIN
rsp_sink_data[43] => rsp_src_data[43].DATAIN
rsp_sink_data[44] => rsp_src_data[44].DATAIN
rsp_sink_data[45] => rsp_src_data[45].DATAIN
rsp_sink_data[46] => rsp_src_data[46].DATAIN
rsp_sink_data[47] => rsp_src_data[47].DATAIN
rsp_sink_data[48] => rsp_src_data[48].DATAIN
rsp_sink_data[49] => rsp_src_data[49].DATAIN
rsp_sink_data[50] => rsp_src_data[50].DATAIN
rsp_sink_data[51] => rsp_src_data[51].DATAIN
rsp_sink_data[52] => rsp_src_data[52].DATAIN
rsp_sink_data[53] => rsp_src_data[53].DATAIN
rsp_sink_data[54] => rsp_src_data[54].DATAIN
rsp_sink_data[55] => rsp_src_data[55].DATAIN
rsp_sink_data[56] => rsp_src_data[56].DATAIN
rsp_sink_data[57] => rsp_src_data[57].DATAIN
rsp_sink_data[58] => rsp_src_data[58].DATAIN
rsp_sink_data[59] => rsp_src_data[59].DATAIN
rsp_sink_data[60] => rsp_src_data[60].DATAIN
rsp_sink_data[61] => rsp_src_data[61].DATAIN
rsp_sink_data[62] => rsp_src_data[62].DATAIN
rsp_sink_data[63] => rsp_src_data[63].DATAIN
rsp_sink_data[64] => rsp_src_data[64].DATAIN
rsp_sink_data[65] => rsp_src_data[65].DATAIN
rsp_sink_data[66] => rsp_src_data[66].DATAIN
rsp_sink_data[67] => rsp_src_data[67].DATAIN
rsp_sink_data[68] => rsp_src_data[68].DATAIN
rsp_sink_data[69] => rsp_src_data[69].DATAIN
rsp_sink_data[70] => rsp_src_data[70].DATAIN
rsp_sink_data[71] => rsp_src_data[71].DATAIN
rsp_sink_data[72] => rsp_src_data[72].DATAIN
rsp_sink_data[73] => rsp_src_data[73].DATAIN
rsp_sink_data[74] => rsp_src_data[74].DATAIN
rsp_sink_data[75] => rsp_src_data[75].DATAIN
rsp_sink_data[76] => rsp_src_data[76].DATAIN
rsp_sink_data[77] => rsp_src_data[77].DATAIN
rsp_sink_data[78] => rsp_src_data[78].DATAIN
rsp_sink_data[79] => rsp_src_data[79].DATAIN
rsp_sink_data[80] => rsp_src_data[80].DATAIN
rsp_sink_data[81] => rsp_src_data[81].DATAIN
rsp_sink_data[82] => rsp_src_data[82].DATAIN
rsp_sink_data[83] => rsp_src_data[83].DATAIN
rsp_sink_data[84] => rsp_src_data[84].DATAIN
rsp_sink_data[85] => rsp_src_data[85].DATAIN
rsp_sink_data[86] => rsp_src_data[86].DATAIN
rsp_sink_data[87] => rsp_src_data[87].DATAIN
rsp_sink_data[88] => rsp_src_data[88].DATAIN
rsp_sink_data[89] => rsp_src_data[89].DATAIN
rsp_sink_data[90] => rsp_src_data[90].DATAIN
rsp_sink_data[91] => rsp_src_data[91].DATAIN
rsp_sink_data[92] => rsp_src_data[92].DATAIN
rsp_sink_data[93] => rsp_src_data[93].DATAIN
rsp_sink_data[94] => rsp_src_data[94].DATAIN
rsp_sink_data[95] => rsp_src_data[95].DATAIN
rsp_sink_data[96] => rsp_src_data[96].DATAIN
rsp_sink_data[97] => rsp_src_data[97].DATAIN
rsp_sink_data[98] => rsp_src_data[98].DATAIN
rsp_sink_data[99] => rsp_src_data[99].DATAIN
rsp_sink_data[100] => rsp_src_data[100].DATAIN
rsp_sink_data[101] => rsp_src_data[101].DATAIN
rsp_sink_data[102] => rsp_src_data[102].DATAIN
rsp_sink_data[103] => rsp_src_data[103].DATAIN
rsp_sink_data[104] => rsp_src_data[104].DATAIN
rsp_sink_data[105] => rsp_src_data[105].DATAIN
rsp_sink_data[106] => rsp_src_data[106].DATAIN
rsp_sink_data[107] => rsp_src_data[107].DATAIN
rsp_sink_data[108] => rsp_src_data[108].DATAIN
rsp_sink_data[109] => rsp_src_data[109].DATAIN
rsp_sink_data[110] => rsp_src_data[110].DATAIN
rsp_sink_data[111] => rsp_src_data[111].DATAIN
rsp_sink_data[112] => rsp_src_data[112].DATAIN
rsp_sink_data[113] => rsp_src_data[113].DATAIN
rsp_sink_data[114] => rsp_src_data[114].DATAIN
rsp_sink_data[115] => rsp_src_data[115].DATAIN
rsp_sink_data[116] => rsp_src_data[116].DATAIN
rsp_sink_data[117] => rsp_src_data[117].DATAIN
rsp_sink_data[118] => rsp_src_data[118].DATAIN
rsp_sink_data[119] => rsp_src_data[119].DATAIN
rsp_sink_data[120] => rsp_src_data[120].DATAIN
rsp_sink_data[121] => rsp_src_data[121].DATAIN
rsp_sink_data[122] => rsp_src_data[122].DATAIN
rsp_sink_data[123] => rsp_src_data[123].DATAIN
rsp_sink_data[124] => rsp_src_data[124].DATAIN
rsp_sink_data[125] => rsp_src_data[125].DATAIN
rsp_sink_data[126] => rsp_src_data[126].DATAIN
rsp_sink_data[127] => rsp_src_data[127].DATAIN
rsp_sink_data[128] => rsp_src_data[128].DATAIN
rsp_sink_channel[0] => rsp_src_channel[0].DATAIN
rsp_sink_channel[1] => rsp_src_channel[1].DATAIN
rsp_sink_channel[2] => rsp_src_channel[2].DATAIN
rsp_sink_channel[3] => rsp_src_channel[3].DATAIN
rsp_sink_channel[4] => rsp_src_channel[4].DATAIN
rsp_sink_channel[5] => rsp_src_channel[5].DATAIN
rsp_sink_channel[6] => rsp_src_channel[6].DATAIN
rsp_sink_channel[7] => rsp_src_channel[7].DATAIN
rsp_sink_channel[8] => rsp_src_channel[8].DATAIN
rsp_sink_channel[9] => rsp_src_channel[9].DATAIN
rsp_sink_channel[10] => rsp_src_channel[10].DATAIN
rsp_sink_channel[11] => rsp_src_channel[11].DATAIN
rsp_sink_channel[12] => rsp_src_channel[12].DATAIN
rsp_sink_channel[13] => rsp_src_channel[13].DATAIN
rsp_sink_channel[14] => rsp_src_channel[14].DATAIN
rsp_sink_channel[15] => rsp_src_channel[15].DATAIN
rsp_sink_channel[16] => rsp_src_channel[16].DATAIN
rsp_sink_channel[17] => rsp_src_channel[17].DATAIN
rsp_sink_channel[18] => rsp_src_channel[18].DATAIN
rsp_sink_channel[19] => rsp_src_channel[19].DATAIN
rsp_sink_channel[20] => rsp_src_channel[20].DATAIN
rsp_sink_channel[21] => rsp_src_channel[21].DATAIN
rsp_sink_startofpacket => rsp_src_startofpacket.DATAIN
rsp_sink_endofpacket => response_sink_accepted.IN1
rsp_sink_endofpacket => rsp_src_endofpacket.DATAIN
rsp_sink_ready <= rsp_src_ready.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_valid <= rsp_sink_valid.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[0] <= rsp_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[1] <= rsp_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[2] <= rsp_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[3] <= rsp_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[4] <= rsp_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[5] <= rsp_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[6] <= rsp_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[7] <= rsp_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[8] <= rsp_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[9] <= rsp_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[10] <= rsp_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[11] <= rsp_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[12] <= rsp_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[13] <= rsp_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[14] <= rsp_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[15] <= rsp_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[16] <= rsp_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[17] <= rsp_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[18] <= rsp_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[19] <= rsp_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[20] <= rsp_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[21] <= rsp_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[22] <= rsp_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[23] <= rsp_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[24] <= rsp_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[25] <= rsp_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[26] <= rsp_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[27] <= rsp_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[28] <= rsp_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[29] <= rsp_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[30] <= rsp_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[31] <= rsp_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[32] <= rsp_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[33] <= rsp_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[34] <= rsp_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[35] <= rsp_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[36] <= rsp_sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[37] <= rsp_sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[38] <= rsp_sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[39] <= rsp_sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[40] <= rsp_sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[41] <= rsp_sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[42] <= rsp_sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[43] <= rsp_sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[44] <= rsp_sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[45] <= rsp_sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[46] <= rsp_sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[47] <= rsp_sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[48] <= rsp_sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[49] <= rsp_sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[50] <= rsp_sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[51] <= rsp_sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[52] <= rsp_sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[53] <= rsp_sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[54] <= rsp_sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[55] <= rsp_sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[56] <= rsp_sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[57] <= rsp_sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[58] <= rsp_sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[59] <= rsp_sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[60] <= rsp_sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[61] <= rsp_sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[62] <= rsp_sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[63] <= rsp_sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[64] <= rsp_sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[65] <= rsp_sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[66] <= rsp_sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[67] <= rsp_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[68] <= rsp_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[69] <= rsp_sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[70] <= rsp_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[71] <= rsp_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[72] <= rsp_sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[73] <= rsp_sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[74] <= rsp_sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[75] <= rsp_sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[76] <= rsp_sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[77] <= rsp_sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[78] <= rsp_sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[79] <= rsp_sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[80] <= rsp_sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[81] <= rsp_sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[82] <= rsp_sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[83] <= rsp_sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[84] <= rsp_sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[85] <= rsp_sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[86] <= rsp_sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[87] <= rsp_sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[88] <= rsp_sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[89] <= rsp_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[90] <= rsp_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[91] <= rsp_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[92] <= rsp_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[93] <= rsp_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[94] <= rsp_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[95] <= rsp_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[96] <= rsp_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[97] <= rsp_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[98] <= rsp_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[99] <= rsp_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[100] <= rsp_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[101] <= rsp_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[102] <= rsp_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[103] <= rsp_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[104] <= rsp_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[105] <= rsp_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[106] <= rsp_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[107] <= rsp_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[108] <= rsp_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[109] <= rsp_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[110] <= rsp_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[111] <= rsp_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[112] <= rsp_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[113] <= rsp_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[114] <= rsp_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[115] <= rsp_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[116] <= rsp_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[117] <= rsp_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[118] <= rsp_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[119] <= rsp_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[120] <= rsp_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[121] <= rsp_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[122] <= rsp_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[123] <= rsp_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[124] <= rsp_sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[125] <= rsp_sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[126] <= rsp_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[127] <= rsp_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[128] <= rsp_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[0] <= rsp_sink_channel[0].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[1] <= rsp_sink_channel[1].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[2] <= rsp_sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[3] <= rsp_sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[4] <= rsp_sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[5] <= rsp_sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[6] <= rsp_sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[7] <= rsp_sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[8] <= rsp_sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[9] <= rsp_sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[10] <= rsp_sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[11] <= rsp_sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[12] <= rsp_sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[13] <= rsp_sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[14] <= rsp_sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[15] <= rsp_sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[16] <= rsp_sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[17] <= rsp_sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[18] <= rsp_sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[19] <= rsp_sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[20] <= rsp_sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[21] <= rsp_sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_startofpacket <= rsp_sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_endofpacket <= rsp_sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_ready => response_sink_accepted.IN1
rsp_src_ready => rsp_sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:hps_0_h2f_axi_master_rd_limiter
clk => has_pending_responses.CLK
clk => pending_response_count[0].CLK
clk => pending_response_count[1].CLK
clk => last_channel[0].CLK
clk => last_channel[1].CLK
clk => last_channel[2].CLK
clk => last_channel[3].CLK
clk => last_channel[4].CLK
clk => last_channel[5].CLK
clk => last_channel[6].CLK
clk => last_channel[7].CLK
clk => last_channel[8].CLK
clk => last_channel[9].CLK
clk => last_channel[10].CLK
clk => last_channel[11].CLK
clk => last_channel[12].CLK
clk => last_channel[13].CLK
clk => last_channel[14].CLK
clk => last_channel[15].CLK
clk => last_channel[16].CLK
clk => last_channel[17].CLK
clk => last_channel[18].CLK
clk => last_channel[19].CLK
clk => last_channel[20].CLK
clk => last_channel[21].CLK
clk => last_dest_id[0].CLK
clk => last_dest_id[1].CLK
clk => last_dest_id[2].CLK
clk => last_dest_id[3].CLK
clk => last_dest_id[4].CLK
reset => has_pending_responses.ACLR
reset => pending_response_count[0].ACLR
reset => pending_response_count[1].ACLR
reset => last_channel[0].ACLR
reset => last_channel[1].ACLR
reset => last_channel[2].ACLR
reset => last_channel[3].ACLR
reset => last_channel[4].ACLR
reset => last_channel[5].ACLR
reset => last_channel[6].ACLR
reset => last_channel[7].ACLR
reset => last_channel[8].ACLR
reset => last_channel[9].ACLR
reset => last_channel[10].ACLR
reset => last_channel[11].ACLR
reset => last_channel[12].ACLR
reset => last_channel[13].ACLR
reset => last_channel[14].ACLR
reset => last_channel[15].ACLR
reset => last_channel[16].ACLR
reset => last_channel[17].ACLR
reset => last_channel[18].ACLR
reset => last_channel[19].ACLR
reset => last_channel[20].ACLR
reset => last_channel[21].ACLR
reset => last_dest_id[0].ACLR
reset => last_dest_id[1].ACLR
reset => last_dest_id[2].ACLR
reset => last_dest_id[3].ACLR
reset => last_dest_id[4].ACLR
cmd_sink_valid => wide_valid[0].IN0
cmd_sink_valid => wide_valid[1].IN0
cmd_sink_valid => wide_valid[2].IN0
cmd_sink_valid => wide_valid[3].IN0
cmd_sink_valid => wide_valid[4].IN0
cmd_sink_valid => wide_valid[5].IN0
cmd_sink_valid => wide_valid[6].IN0
cmd_sink_valid => wide_valid[7].IN0
cmd_sink_valid => wide_valid[8].IN0
cmd_sink_valid => wide_valid[9].IN0
cmd_sink_valid => wide_valid[10].IN0
cmd_sink_valid => wide_valid[11].IN0
cmd_sink_valid => wide_valid[12].IN0
cmd_sink_valid => wide_valid[13].IN0
cmd_sink_valid => wide_valid[14].IN0
cmd_sink_valid => wide_valid[15].IN0
cmd_sink_valid => wide_valid[16].IN0
cmd_sink_valid => wide_valid[17].IN0
cmd_sink_valid => wide_valid[18].IN0
cmd_sink_valid => wide_valid[19].IN0
cmd_sink_valid => wide_valid[20].IN0
cmd_sink_valid => wide_valid[21].IN0
cmd_sink_valid => internal_valid.DATAA
cmd_sink_valid => save_dest_id.IN1
cmd_sink_data[0] => cmd_src_data[0].DATAIN
cmd_sink_data[1] => cmd_src_data[1].DATAIN
cmd_sink_data[2] => cmd_src_data[2].DATAIN
cmd_sink_data[3] => cmd_src_data[3].DATAIN
cmd_sink_data[4] => cmd_src_data[4].DATAIN
cmd_sink_data[5] => cmd_src_data[5].DATAIN
cmd_sink_data[6] => cmd_src_data[6].DATAIN
cmd_sink_data[7] => cmd_src_data[7].DATAIN
cmd_sink_data[8] => cmd_src_data[8].DATAIN
cmd_sink_data[9] => cmd_src_data[9].DATAIN
cmd_sink_data[10] => cmd_src_data[10].DATAIN
cmd_sink_data[11] => cmd_src_data[11].DATAIN
cmd_sink_data[12] => cmd_src_data[12].DATAIN
cmd_sink_data[13] => cmd_src_data[13].DATAIN
cmd_sink_data[14] => cmd_src_data[14].DATAIN
cmd_sink_data[15] => cmd_src_data[15].DATAIN
cmd_sink_data[16] => cmd_src_data[16].DATAIN
cmd_sink_data[17] => cmd_src_data[17].DATAIN
cmd_sink_data[18] => cmd_src_data[18].DATAIN
cmd_sink_data[19] => cmd_src_data[19].DATAIN
cmd_sink_data[20] => cmd_src_data[20].DATAIN
cmd_sink_data[21] => cmd_src_data[21].DATAIN
cmd_sink_data[22] => cmd_src_data[22].DATAIN
cmd_sink_data[23] => cmd_src_data[23].DATAIN
cmd_sink_data[24] => cmd_src_data[24].DATAIN
cmd_sink_data[25] => cmd_src_data[25].DATAIN
cmd_sink_data[26] => cmd_src_data[26].DATAIN
cmd_sink_data[27] => cmd_src_data[27].DATAIN
cmd_sink_data[28] => cmd_src_data[28].DATAIN
cmd_sink_data[29] => cmd_src_data[29].DATAIN
cmd_sink_data[30] => cmd_src_data[30].DATAIN
cmd_sink_data[31] => cmd_src_data[31].DATAIN
cmd_sink_data[32] => cmd_src_data[32].DATAIN
cmd_sink_data[33] => cmd_src_data[33].DATAIN
cmd_sink_data[34] => cmd_src_data[34].DATAIN
cmd_sink_data[35] => cmd_src_data[35].DATAIN
cmd_sink_data[36] => cmd_src_data[36].DATAIN
cmd_sink_data[37] => cmd_src_data[37].DATAIN
cmd_sink_data[38] => cmd_src_data[38].DATAIN
cmd_sink_data[39] => cmd_src_data[39].DATAIN
cmd_sink_data[40] => cmd_src_data[40].DATAIN
cmd_sink_data[41] => cmd_src_data[41].DATAIN
cmd_sink_data[42] => cmd_src_data[42].DATAIN
cmd_sink_data[43] => cmd_src_data[43].DATAIN
cmd_sink_data[44] => cmd_src_data[44].DATAIN
cmd_sink_data[45] => cmd_src_data[45].DATAIN
cmd_sink_data[46] => cmd_src_data[46].DATAIN
cmd_sink_data[47] => cmd_src_data[47].DATAIN
cmd_sink_data[48] => cmd_src_data[48].DATAIN
cmd_sink_data[49] => cmd_src_data[49].DATAIN
cmd_sink_data[50] => cmd_src_data[50].DATAIN
cmd_sink_data[51] => cmd_src_data[51].DATAIN
cmd_sink_data[52] => cmd_src_data[52].DATAIN
cmd_sink_data[53] => cmd_src_data[53].DATAIN
cmd_sink_data[54] => cmd_src_data[54].DATAIN
cmd_sink_data[55] => cmd_src_data[55].DATAIN
cmd_sink_data[56] => cmd_src_data[56].DATAIN
cmd_sink_data[57] => cmd_src_data[57].DATAIN
cmd_sink_data[58] => cmd_src_data[58].DATAIN
cmd_sink_data[59] => cmd_src_data[59].DATAIN
cmd_sink_data[60] => cmd_src_data[60].DATAIN
cmd_sink_data[61] => cmd_src_data[61].DATAIN
cmd_sink_data[62] => cmd_src_data[62].DATAIN
cmd_sink_data[63] => cmd_src_data[63].DATAIN
cmd_sink_data[64] => cmd_src_data[64].DATAIN
cmd_sink_data[65] => cmd_src_data[65].DATAIN
cmd_sink_data[66] => cmd_src_data[66].DATAIN
cmd_sink_data[67] => cmd_src_data[67].DATAIN
cmd_sink_data[67] => save_dest_id.IN1
cmd_sink_data[67] => nonposted_cmd_accepted.IN1
cmd_sink_data[67] => suppress_change_dest_id.IN1
cmd_sink_data[68] => cmd_src_data[68].DATAIN
cmd_sink_data[69] => cmd_src_data[69].DATAIN
cmd_sink_data[70] => cmd_src_data[70].DATAIN
cmd_sink_data[71] => cmd_src_data[71].DATAIN
cmd_sink_data[72] => cmd_src_data[72].DATAIN
cmd_sink_data[73] => cmd_src_data[73].DATAIN
cmd_sink_data[74] => cmd_src_data[74].DATAIN
cmd_sink_data[75] => cmd_src_data[75].DATAIN
cmd_sink_data[76] => cmd_src_data[76].DATAIN
cmd_sink_data[77] => cmd_src_data[77].DATAIN
cmd_sink_data[78] => cmd_src_data[78].DATAIN
cmd_sink_data[79] => cmd_src_data[79].DATAIN
cmd_sink_data[80] => cmd_src_data[80].DATAIN
cmd_sink_data[81] => cmd_src_data[81].DATAIN
cmd_sink_data[82] => cmd_src_data[82].DATAIN
cmd_sink_data[83] => cmd_src_data[83].DATAIN
cmd_sink_data[84] => cmd_src_data[84].DATAIN
cmd_sink_data[85] => cmd_src_data[85].DATAIN
cmd_sink_data[86] => cmd_src_data[86].DATAIN
cmd_sink_data[87] => cmd_src_data[87].DATAIN
cmd_sink_data[88] => cmd_src_data[88].DATAIN
cmd_sink_data[89] => cmd_src_data[89].DATAIN
cmd_sink_data[90] => cmd_src_data[90].DATAIN
cmd_sink_data[91] => cmd_src_data[91].DATAIN
cmd_sink_data[92] => cmd_src_data[92].DATAIN
cmd_sink_data[93] => cmd_src_data[93].DATAIN
cmd_sink_data[94] => cmd_src_data[94].DATAIN
cmd_sink_data[95] => cmd_src_data[95].DATAIN
cmd_sink_data[96] => cmd_src_data[96].DATAIN
cmd_sink_data[97] => cmd_src_data[97].DATAIN
cmd_sink_data[98] => cmd_src_data[98].DATAIN
cmd_sink_data[99] => cmd_src_data[99].DATAIN
cmd_sink_data[100] => Equal0.IN4
cmd_sink_data[100] => cmd_src_data[100].DATAIN
cmd_sink_data[100] => last_dest_id[0].DATAIN
cmd_sink_data[101] => Equal0.IN3
cmd_sink_data[101] => cmd_src_data[101].DATAIN
cmd_sink_data[101] => last_dest_id[1].DATAIN
cmd_sink_data[102] => Equal0.IN2
cmd_sink_data[102] => cmd_src_data[102].DATAIN
cmd_sink_data[102] => last_dest_id[2].DATAIN
cmd_sink_data[103] => Equal0.IN1
cmd_sink_data[103] => cmd_src_data[103].DATAIN
cmd_sink_data[103] => last_dest_id[3].DATAIN
cmd_sink_data[104] => Equal0.IN0
cmd_sink_data[104] => cmd_src_data[104].DATAIN
cmd_sink_data[104] => last_dest_id[4].DATAIN
cmd_sink_data[105] => cmd_src_data[105].DATAIN
cmd_sink_data[106] => cmd_src_data[106].DATAIN
cmd_sink_data[107] => cmd_src_data[107].DATAIN
cmd_sink_data[108] => cmd_src_data[108].DATAIN
cmd_sink_data[109] => cmd_src_data[109].DATAIN
cmd_sink_data[110] => cmd_src_data[110].DATAIN
cmd_sink_data[111] => cmd_src_data[111].DATAIN
cmd_sink_data[112] => cmd_src_data[112].DATAIN
cmd_sink_data[113] => cmd_src_data[113].DATAIN
cmd_sink_data[114] => cmd_src_data[114].DATAIN
cmd_sink_data[115] => cmd_src_data[115].DATAIN
cmd_sink_data[116] => cmd_src_data[116].DATAIN
cmd_sink_data[117] => cmd_src_data[117].DATAIN
cmd_sink_data[118] => cmd_src_data[118].DATAIN
cmd_sink_data[119] => cmd_src_data[119].DATAIN
cmd_sink_data[120] => cmd_src_data[120].DATAIN
cmd_sink_data[121] => cmd_src_data[121].DATAIN
cmd_sink_data[122] => cmd_src_data[122].DATAIN
cmd_sink_data[123] => cmd_src_data[123].DATAIN
cmd_sink_data[124] => cmd_src_data[124].DATAIN
cmd_sink_data[125] => cmd_src_data[125].DATAIN
cmd_sink_data[126] => cmd_src_data[126].DATAIN
cmd_sink_data[127] => cmd_src_data[127].DATAIN
cmd_sink_data[128] => cmd_src_data[128].DATAIN
cmd_sink_channel[0] => wide_valid[0].IN1
cmd_sink_channel[0] => cmd_src_channel[0].DATAIN
cmd_sink_channel[0] => last_channel[0].DATAIN
cmd_sink_channel[1] => wide_valid[1].IN1
cmd_sink_channel[1] => cmd_src_channel[1].DATAIN
cmd_sink_channel[1] => last_channel[1].DATAIN
cmd_sink_channel[2] => wide_valid[2].IN1
cmd_sink_channel[2] => cmd_src_channel[2].DATAIN
cmd_sink_channel[2] => last_channel[2].DATAIN
cmd_sink_channel[3] => wide_valid[3].IN1
cmd_sink_channel[3] => cmd_src_channel[3].DATAIN
cmd_sink_channel[3] => last_channel[3].DATAIN
cmd_sink_channel[4] => wide_valid[4].IN1
cmd_sink_channel[4] => cmd_src_channel[4].DATAIN
cmd_sink_channel[4] => last_channel[4].DATAIN
cmd_sink_channel[5] => wide_valid[5].IN1
cmd_sink_channel[5] => cmd_src_channel[5].DATAIN
cmd_sink_channel[5] => last_channel[5].DATAIN
cmd_sink_channel[6] => wide_valid[6].IN1
cmd_sink_channel[6] => cmd_src_channel[6].DATAIN
cmd_sink_channel[6] => last_channel[6].DATAIN
cmd_sink_channel[7] => wide_valid[7].IN1
cmd_sink_channel[7] => cmd_src_channel[7].DATAIN
cmd_sink_channel[7] => last_channel[7].DATAIN
cmd_sink_channel[8] => wide_valid[8].IN1
cmd_sink_channel[8] => cmd_src_channel[8].DATAIN
cmd_sink_channel[8] => last_channel[8].DATAIN
cmd_sink_channel[9] => wide_valid[9].IN1
cmd_sink_channel[9] => cmd_src_channel[9].DATAIN
cmd_sink_channel[9] => last_channel[9].DATAIN
cmd_sink_channel[10] => wide_valid[10].IN1
cmd_sink_channel[10] => cmd_src_channel[10].DATAIN
cmd_sink_channel[10] => last_channel[10].DATAIN
cmd_sink_channel[11] => wide_valid[11].IN1
cmd_sink_channel[11] => cmd_src_channel[11].DATAIN
cmd_sink_channel[11] => last_channel[11].DATAIN
cmd_sink_channel[12] => wide_valid[12].IN1
cmd_sink_channel[12] => cmd_src_channel[12].DATAIN
cmd_sink_channel[12] => last_channel[12].DATAIN
cmd_sink_channel[13] => wide_valid[13].IN1
cmd_sink_channel[13] => cmd_src_channel[13].DATAIN
cmd_sink_channel[13] => last_channel[13].DATAIN
cmd_sink_channel[14] => wide_valid[14].IN1
cmd_sink_channel[14] => cmd_src_channel[14].DATAIN
cmd_sink_channel[14] => last_channel[14].DATAIN
cmd_sink_channel[15] => wide_valid[15].IN1
cmd_sink_channel[15] => cmd_src_channel[15].DATAIN
cmd_sink_channel[15] => last_channel[15].DATAIN
cmd_sink_channel[16] => wide_valid[16].IN1
cmd_sink_channel[16] => cmd_src_channel[16].DATAIN
cmd_sink_channel[16] => last_channel[16].DATAIN
cmd_sink_channel[17] => wide_valid[17].IN1
cmd_sink_channel[17] => cmd_src_channel[17].DATAIN
cmd_sink_channel[17] => last_channel[17].DATAIN
cmd_sink_channel[18] => wide_valid[18].IN1
cmd_sink_channel[18] => cmd_src_channel[18].DATAIN
cmd_sink_channel[18] => last_channel[18].DATAIN
cmd_sink_channel[19] => wide_valid[19].IN1
cmd_sink_channel[19] => cmd_src_channel[19].DATAIN
cmd_sink_channel[19] => last_channel[19].DATAIN
cmd_sink_channel[20] => wide_valid[20].IN1
cmd_sink_channel[20] => cmd_src_channel[20].DATAIN
cmd_sink_channel[20] => last_channel[20].DATAIN
cmd_sink_channel[21] => wide_valid[21].IN1
cmd_sink_channel[21] => cmd_src_channel[21].DATAIN
cmd_sink_channel[21] => last_channel[21].DATAIN
cmd_sink_startofpacket => cmd_src_startofpacket.DATAIN
cmd_sink_endofpacket => nonposted_cmd_accepted.IN0
cmd_sink_endofpacket => cmd_src_endofpacket.DATAIN
cmd_sink_ready <= stage2_ready.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[0] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[1] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[2] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[3] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[4] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[5] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[6] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[7] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[8] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[9] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[10] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[11] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[12] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[13] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[14] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[15] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[16] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[17] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[18] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[19] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[20] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_valid[21] <= cmd_src_valid.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[0] <= cmd_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[1] <= cmd_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[2] <= cmd_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[3] <= cmd_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[4] <= cmd_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[5] <= cmd_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[6] <= cmd_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[7] <= cmd_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[8] <= cmd_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[9] <= cmd_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[10] <= cmd_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[11] <= cmd_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[12] <= cmd_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[13] <= cmd_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[14] <= cmd_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[15] <= cmd_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[16] <= cmd_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[17] <= cmd_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[18] <= cmd_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[19] <= cmd_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[20] <= cmd_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[21] <= cmd_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[22] <= cmd_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[23] <= cmd_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[24] <= cmd_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[25] <= cmd_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[26] <= cmd_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[27] <= cmd_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[28] <= cmd_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[29] <= cmd_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[30] <= cmd_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[31] <= cmd_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[32] <= cmd_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[33] <= cmd_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[34] <= cmd_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[35] <= cmd_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[36] <= cmd_sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[37] <= cmd_sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[38] <= cmd_sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[39] <= cmd_sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[40] <= cmd_sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[41] <= cmd_sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[42] <= cmd_sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[43] <= cmd_sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[44] <= cmd_sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[45] <= cmd_sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[46] <= cmd_sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[47] <= cmd_sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[48] <= cmd_sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[49] <= cmd_sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[50] <= cmd_sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[51] <= cmd_sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[52] <= cmd_sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[53] <= cmd_sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[54] <= cmd_sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[55] <= cmd_sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[56] <= cmd_sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[57] <= cmd_sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[58] <= cmd_sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[59] <= cmd_sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[60] <= cmd_sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[61] <= cmd_sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[62] <= cmd_sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[63] <= cmd_sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[64] <= cmd_sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[65] <= cmd_sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[66] <= cmd_sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[67] <= cmd_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[68] <= cmd_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[69] <= cmd_sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[70] <= cmd_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[71] <= cmd_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[72] <= cmd_sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[73] <= cmd_sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[74] <= cmd_sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[75] <= cmd_sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[76] <= cmd_sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[77] <= cmd_sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[78] <= cmd_sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[79] <= cmd_sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[80] <= cmd_sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[81] <= cmd_sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[82] <= cmd_sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[83] <= cmd_sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[84] <= cmd_sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[85] <= cmd_sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[86] <= cmd_sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[87] <= cmd_sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[88] <= cmd_sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[89] <= cmd_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[90] <= cmd_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[91] <= cmd_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[92] <= cmd_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[93] <= cmd_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[94] <= cmd_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[95] <= cmd_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[96] <= cmd_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[97] <= cmd_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[98] <= cmd_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[99] <= cmd_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[100] <= cmd_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[101] <= cmd_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[102] <= cmd_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[103] <= cmd_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[104] <= cmd_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[105] <= cmd_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[106] <= cmd_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[107] <= cmd_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[108] <= cmd_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[109] <= cmd_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[110] <= cmd_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[111] <= cmd_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[112] <= cmd_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[113] <= cmd_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[114] <= cmd_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[115] <= cmd_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[116] <= cmd_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[117] <= cmd_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[118] <= cmd_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[119] <= cmd_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[120] <= cmd_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[121] <= cmd_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[122] <= cmd_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[123] <= cmd_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[124] <= cmd_sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[125] <= cmd_sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[126] <= cmd_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[127] <= cmd_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_data[128] <= cmd_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[0] <= cmd_sink_channel[0].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[1] <= cmd_sink_channel[1].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[2] <= cmd_sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[3] <= cmd_sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[4] <= cmd_sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[5] <= cmd_sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[6] <= cmd_sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[7] <= cmd_sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[8] <= cmd_sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[9] <= cmd_sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[10] <= cmd_sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[11] <= cmd_sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[12] <= cmd_sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[13] <= cmd_sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[14] <= cmd_sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[15] <= cmd_sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[16] <= cmd_sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[17] <= cmd_sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[18] <= cmd_sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[19] <= cmd_sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[20] <= cmd_sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_channel[21] <= cmd_sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
cmd_src_startofpacket <= cmd_sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_endofpacket <= cmd_sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
cmd_src_ready => nonposted_cmd_accepted.IN1
cmd_src_ready => stage2_ready.DATAA
rsp_sink_valid => response_sink_accepted.IN0
rsp_sink_valid => rsp_src_valid.DATAIN
rsp_sink_data[0] => rsp_src_data[0].DATAIN
rsp_sink_data[1] => rsp_src_data[1].DATAIN
rsp_sink_data[2] => rsp_src_data[2].DATAIN
rsp_sink_data[3] => rsp_src_data[3].DATAIN
rsp_sink_data[4] => rsp_src_data[4].DATAIN
rsp_sink_data[5] => rsp_src_data[5].DATAIN
rsp_sink_data[6] => rsp_src_data[6].DATAIN
rsp_sink_data[7] => rsp_src_data[7].DATAIN
rsp_sink_data[8] => rsp_src_data[8].DATAIN
rsp_sink_data[9] => rsp_src_data[9].DATAIN
rsp_sink_data[10] => rsp_src_data[10].DATAIN
rsp_sink_data[11] => rsp_src_data[11].DATAIN
rsp_sink_data[12] => rsp_src_data[12].DATAIN
rsp_sink_data[13] => rsp_src_data[13].DATAIN
rsp_sink_data[14] => rsp_src_data[14].DATAIN
rsp_sink_data[15] => rsp_src_data[15].DATAIN
rsp_sink_data[16] => rsp_src_data[16].DATAIN
rsp_sink_data[17] => rsp_src_data[17].DATAIN
rsp_sink_data[18] => rsp_src_data[18].DATAIN
rsp_sink_data[19] => rsp_src_data[19].DATAIN
rsp_sink_data[20] => rsp_src_data[20].DATAIN
rsp_sink_data[21] => rsp_src_data[21].DATAIN
rsp_sink_data[22] => rsp_src_data[22].DATAIN
rsp_sink_data[23] => rsp_src_data[23].DATAIN
rsp_sink_data[24] => rsp_src_data[24].DATAIN
rsp_sink_data[25] => rsp_src_data[25].DATAIN
rsp_sink_data[26] => rsp_src_data[26].DATAIN
rsp_sink_data[27] => rsp_src_data[27].DATAIN
rsp_sink_data[28] => rsp_src_data[28].DATAIN
rsp_sink_data[29] => rsp_src_data[29].DATAIN
rsp_sink_data[30] => rsp_src_data[30].DATAIN
rsp_sink_data[31] => rsp_src_data[31].DATAIN
rsp_sink_data[32] => rsp_src_data[32].DATAIN
rsp_sink_data[33] => rsp_src_data[33].DATAIN
rsp_sink_data[34] => rsp_src_data[34].DATAIN
rsp_sink_data[35] => rsp_src_data[35].DATAIN
rsp_sink_data[36] => rsp_src_data[36].DATAIN
rsp_sink_data[37] => rsp_src_data[37].DATAIN
rsp_sink_data[38] => rsp_src_data[38].DATAIN
rsp_sink_data[39] => rsp_src_data[39].DATAIN
rsp_sink_data[40] => rsp_src_data[40].DATAIN
rsp_sink_data[41] => rsp_src_data[41].DATAIN
rsp_sink_data[42] => rsp_src_data[42].DATAIN
rsp_sink_data[43] => rsp_src_data[43].DATAIN
rsp_sink_data[44] => rsp_src_data[44].DATAIN
rsp_sink_data[45] => rsp_src_data[45].DATAIN
rsp_sink_data[46] => rsp_src_data[46].DATAIN
rsp_sink_data[47] => rsp_src_data[47].DATAIN
rsp_sink_data[48] => rsp_src_data[48].DATAIN
rsp_sink_data[49] => rsp_src_data[49].DATAIN
rsp_sink_data[50] => rsp_src_data[50].DATAIN
rsp_sink_data[51] => rsp_src_data[51].DATAIN
rsp_sink_data[52] => rsp_src_data[52].DATAIN
rsp_sink_data[53] => rsp_src_data[53].DATAIN
rsp_sink_data[54] => rsp_src_data[54].DATAIN
rsp_sink_data[55] => rsp_src_data[55].DATAIN
rsp_sink_data[56] => rsp_src_data[56].DATAIN
rsp_sink_data[57] => rsp_src_data[57].DATAIN
rsp_sink_data[58] => rsp_src_data[58].DATAIN
rsp_sink_data[59] => rsp_src_data[59].DATAIN
rsp_sink_data[60] => rsp_src_data[60].DATAIN
rsp_sink_data[61] => rsp_src_data[61].DATAIN
rsp_sink_data[62] => rsp_src_data[62].DATAIN
rsp_sink_data[63] => rsp_src_data[63].DATAIN
rsp_sink_data[64] => rsp_src_data[64].DATAIN
rsp_sink_data[65] => rsp_src_data[65].DATAIN
rsp_sink_data[66] => rsp_src_data[66].DATAIN
rsp_sink_data[67] => rsp_src_data[67].DATAIN
rsp_sink_data[68] => rsp_src_data[68].DATAIN
rsp_sink_data[69] => rsp_src_data[69].DATAIN
rsp_sink_data[70] => rsp_src_data[70].DATAIN
rsp_sink_data[71] => rsp_src_data[71].DATAIN
rsp_sink_data[72] => rsp_src_data[72].DATAIN
rsp_sink_data[73] => rsp_src_data[73].DATAIN
rsp_sink_data[74] => rsp_src_data[74].DATAIN
rsp_sink_data[75] => rsp_src_data[75].DATAIN
rsp_sink_data[76] => rsp_src_data[76].DATAIN
rsp_sink_data[77] => rsp_src_data[77].DATAIN
rsp_sink_data[78] => rsp_src_data[78].DATAIN
rsp_sink_data[79] => rsp_src_data[79].DATAIN
rsp_sink_data[80] => rsp_src_data[80].DATAIN
rsp_sink_data[81] => rsp_src_data[81].DATAIN
rsp_sink_data[82] => rsp_src_data[82].DATAIN
rsp_sink_data[83] => rsp_src_data[83].DATAIN
rsp_sink_data[84] => rsp_src_data[84].DATAIN
rsp_sink_data[85] => rsp_src_data[85].DATAIN
rsp_sink_data[86] => rsp_src_data[86].DATAIN
rsp_sink_data[87] => rsp_src_data[87].DATAIN
rsp_sink_data[88] => rsp_src_data[88].DATAIN
rsp_sink_data[89] => rsp_src_data[89].DATAIN
rsp_sink_data[90] => rsp_src_data[90].DATAIN
rsp_sink_data[91] => rsp_src_data[91].DATAIN
rsp_sink_data[92] => rsp_src_data[92].DATAIN
rsp_sink_data[93] => rsp_src_data[93].DATAIN
rsp_sink_data[94] => rsp_src_data[94].DATAIN
rsp_sink_data[95] => rsp_src_data[95].DATAIN
rsp_sink_data[96] => rsp_src_data[96].DATAIN
rsp_sink_data[97] => rsp_src_data[97].DATAIN
rsp_sink_data[98] => rsp_src_data[98].DATAIN
rsp_sink_data[99] => rsp_src_data[99].DATAIN
rsp_sink_data[100] => rsp_src_data[100].DATAIN
rsp_sink_data[101] => rsp_src_data[101].DATAIN
rsp_sink_data[102] => rsp_src_data[102].DATAIN
rsp_sink_data[103] => rsp_src_data[103].DATAIN
rsp_sink_data[104] => rsp_src_data[104].DATAIN
rsp_sink_data[105] => rsp_src_data[105].DATAIN
rsp_sink_data[106] => rsp_src_data[106].DATAIN
rsp_sink_data[107] => rsp_src_data[107].DATAIN
rsp_sink_data[108] => rsp_src_data[108].DATAIN
rsp_sink_data[109] => rsp_src_data[109].DATAIN
rsp_sink_data[110] => rsp_src_data[110].DATAIN
rsp_sink_data[111] => rsp_src_data[111].DATAIN
rsp_sink_data[112] => rsp_src_data[112].DATAIN
rsp_sink_data[113] => rsp_src_data[113].DATAIN
rsp_sink_data[114] => rsp_src_data[114].DATAIN
rsp_sink_data[115] => rsp_src_data[115].DATAIN
rsp_sink_data[116] => rsp_src_data[116].DATAIN
rsp_sink_data[117] => rsp_src_data[117].DATAIN
rsp_sink_data[118] => rsp_src_data[118].DATAIN
rsp_sink_data[119] => rsp_src_data[119].DATAIN
rsp_sink_data[120] => rsp_src_data[120].DATAIN
rsp_sink_data[121] => rsp_src_data[121].DATAIN
rsp_sink_data[122] => rsp_src_data[122].DATAIN
rsp_sink_data[123] => rsp_src_data[123].DATAIN
rsp_sink_data[124] => rsp_src_data[124].DATAIN
rsp_sink_data[125] => rsp_src_data[125].DATAIN
rsp_sink_data[126] => rsp_src_data[126].DATAIN
rsp_sink_data[127] => rsp_src_data[127].DATAIN
rsp_sink_data[128] => rsp_src_data[128].DATAIN
rsp_sink_channel[0] => rsp_src_channel[0].DATAIN
rsp_sink_channel[1] => rsp_src_channel[1].DATAIN
rsp_sink_channel[2] => rsp_src_channel[2].DATAIN
rsp_sink_channel[3] => rsp_src_channel[3].DATAIN
rsp_sink_channel[4] => rsp_src_channel[4].DATAIN
rsp_sink_channel[5] => rsp_src_channel[5].DATAIN
rsp_sink_channel[6] => rsp_src_channel[6].DATAIN
rsp_sink_channel[7] => rsp_src_channel[7].DATAIN
rsp_sink_channel[8] => rsp_src_channel[8].DATAIN
rsp_sink_channel[9] => rsp_src_channel[9].DATAIN
rsp_sink_channel[10] => rsp_src_channel[10].DATAIN
rsp_sink_channel[11] => rsp_src_channel[11].DATAIN
rsp_sink_channel[12] => rsp_src_channel[12].DATAIN
rsp_sink_channel[13] => rsp_src_channel[13].DATAIN
rsp_sink_channel[14] => rsp_src_channel[14].DATAIN
rsp_sink_channel[15] => rsp_src_channel[15].DATAIN
rsp_sink_channel[16] => rsp_src_channel[16].DATAIN
rsp_sink_channel[17] => rsp_src_channel[17].DATAIN
rsp_sink_channel[18] => rsp_src_channel[18].DATAIN
rsp_sink_channel[19] => rsp_src_channel[19].DATAIN
rsp_sink_channel[20] => rsp_src_channel[20].DATAIN
rsp_sink_channel[21] => rsp_src_channel[21].DATAIN
rsp_sink_startofpacket => rsp_src_startofpacket.DATAIN
rsp_sink_endofpacket => response_sink_accepted.IN1
rsp_sink_endofpacket => rsp_src_endofpacket.DATAIN
rsp_sink_ready <= rsp_src_ready.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_valid <= rsp_sink_valid.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[0] <= rsp_sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[1] <= rsp_sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[2] <= rsp_sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[3] <= rsp_sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[4] <= rsp_sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[5] <= rsp_sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[6] <= rsp_sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[7] <= rsp_sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[8] <= rsp_sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[9] <= rsp_sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[10] <= rsp_sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[11] <= rsp_sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[12] <= rsp_sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[13] <= rsp_sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[14] <= rsp_sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[15] <= rsp_sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[16] <= rsp_sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[17] <= rsp_sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[18] <= rsp_sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[19] <= rsp_sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[20] <= rsp_sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[21] <= rsp_sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[22] <= rsp_sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[23] <= rsp_sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[24] <= rsp_sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[25] <= rsp_sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[26] <= rsp_sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[27] <= rsp_sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[28] <= rsp_sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[29] <= rsp_sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[30] <= rsp_sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[31] <= rsp_sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[32] <= rsp_sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[33] <= rsp_sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[34] <= rsp_sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[35] <= rsp_sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[36] <= rsp_sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[37] <= rsp_sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[38] <= rsp_sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[39] <= rsp_sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[40] <= rsp_sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[41] <= rsp_sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[42] <= rsp_sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[43] <= rsp_sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[44] <= rsp_sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[45] <= rsp_sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[46] <= rsp_sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[47] <= rsp_sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[48] <= rsp_sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[49] <= rsp_sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[50] <= rsp_sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[51] <= rsp_sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[52] <= rsp_sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[53] <= rsp_sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[54] <= rsp_sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[55] <= rsp_sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[56] <= rsp_sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[57] <= rsp_sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[58] <= rsp_sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[59] <= rsp_sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[60] <= rsp_sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[61] <= rsp_sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[62] <= rsp_sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[63] <= rsp_sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[64] <= rsp_sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[65] <= rsp_sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[66] <= rsp_sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[67] <= rsp_sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[68] <= rsp_sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[69] <= rsp_sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[70] <= rsp_sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[71] <= rsp_sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[72] <= rsp_sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[73] <= rsp_sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[74] <= rsp_sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[75] <= rsp_sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[76] <= rsp_sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[77] <= rsp_sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[78] <= rsp_sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[79] <= rsp_sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[80] <= rsp_sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[81] <= rsp_sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[82] <= rsp_sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[83] <= rsp_sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[84] <= rsp_sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[85] <= rsp_sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[86] <= rsp_sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[87] <= rsp_sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[88] <= rsp_sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[89] <= rsp_sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[90] <= rsp_sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[91] <= rsp_sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[92] <= rsp_sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[93] <= rsp_sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[94] <= rsp_sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[95] <= rsp_sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[96] <= rsp_sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[97] <= rsp_sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[98] <= rsp_sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[99] <= rsp_sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[100] <= rsp_sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[101] <= rsp_sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[102] <= rsp_sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[103] <= rsp_sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[104] <= rsp_sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[105] <= rsp_sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[106] <= rsp_sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[107] <= rsp_sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[108] <= rsp_sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[109] <= rsp_sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[110] <= rsp_sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[111] <= rsp_sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[112] <= rsp_sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[113] <= rsp_sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[114] <= rsp_sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[115] <= rsp_sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[116] <= rsp_sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[117] <= rsp_sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[118] <= rsp_sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[119] <= rsp_sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[120] <= rsp_sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[121] <= rsp_sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[122] <= rsp_sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[123] <= rsp_sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[124] <= rsp_sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[125] <= rsp_sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[126] <= rsp_sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[127] <= rsp_sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_data[128] <= rsp_sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[0] <= rsp_sink_channel[0].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[1] <= rsp_sink_channel[1].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[2] <= rsp_sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[3] <= rsp_sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[4] <= rsp_sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[5] <= rsp_sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[6] <= rsp_sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[7] <= rsp_sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[8] <= rsp_sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[9] <= rsp_sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[10] <= rsp_sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[11] <= rsp_sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[12] <= rsp_sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[13] <= rsp_sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[14] <= rsp_sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[15] <= rsp_sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[16] <= rsp_sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[17] <= rsp_sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[18] <= rsp_sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[19] <= rsp_sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[20] <= rsp_sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_channel[21] <= rsp_sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
rsp_src_startofpacket <= rsp_sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_endofpacket <= rsp_sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
rsp_src_ready => response_sink_accepted.IN1
rsp_src_ready => rsp_sink_ready.DATAIN


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:led_pio_test_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_ready_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_flag_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_read_en_rx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_rx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:auto_start_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:link_disable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_data_fifo_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:write_en_tx_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_full_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fifo_empty_tx_status_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_data_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_enable_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:timecode_tx_ready_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:data_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:clock_sel_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:fsm_info_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_tx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => sink0_valid.IN1
sink0_data[0] => sink0_data[0].IN1
sink0_data[1] => sink0_data[1].IN1
sink0_data[2] => sink0_data[2].IN1
sink0_data[3] => sink0_data[3].IN1
sink0_data[4] => sink0_data[4].IN1
sink0_data[5] => sink0_data[5].IN1
sink0_data[6] => sink0_data[6].IN1
sink0_data[7] => sink0_data[7].IN1
sink0_data[8] => sink0_data[8].IN1
sink0_data[9] => sink0_data[9].IN1
sink0_data[10] => sink0_data[10].IN1
sink0_data[11] => sink0_data[11].IN1
sink0_data[12] => sink0_data[12].IN1
sink0_data[13] => sink0_data[13].IN1
sink0_data[14] => sink0_data[14].IN1
sink0_data[15] => sink0_data[15].IN1
sink0_data[16] => sink0_data[16].IN1
sink0_data[17] => sink0_data[17].IN1
sink0_data[18] => sink0_data[18].IN1
sink0_data[19] => sink0_data[19].IN1
sink0_data[20] => sink0_data[20].IN1
sink0_data[21] => sink0_data[21].IN1
sink0_data[22] => sink0_data[22].IN1
sink0_data[23] => sink0_data[23].IN1
sink0_data[24] => sink0_data[24].IN1
sink0_data[25] => sink0_data[25].IN1
sink0_data[26] => sink0_data[26].IN1
sink0_data[27] => sink0_data[27].IN1
sink0_data[28] => sink0_data[28].IN1
sink0_data[29] => sink0_data[29].IN1
sink0_data[30] => sink0_data[30].IN1
sink0_data[31] => sink0_data[31].IN1
sink0_data[32] => sink0_data[32].IN1
sink0_data[33] => sink0_data[33].IN1
sink0_data[34] => sink0_data[34].IN1
sink0_data[35] => sink0_data[35].IN1
sink0_data[36] => sink0_data[36].IN1
sink0_data[37] => sink0_data[37].IN1
sink0_data[38] => sink0_data[38].IN1
sink0_data[39] => sink0_data[39].IN1
sink0_data[40] => sink0_data[40].IN1
sink0_data[41] => sink0_data[41].IN1
sink0_data[42] => sink0_data[42].IN1
sink0_data[43] => sink0_data[43].IN1
sink0_data[44] => sink0_data[44].IN1
sink0_data[45] => sink0_data[45].IN1
sink0_data[46] => sink0_data[46].IN1
sink0_data[47] => sink0_data[47].IN1
sink0_data[48] => sink0_data[48].IN1
sink0_data[49] => sink0_data[49].IN1
sink0_data[50] => sink0_data[50].IN1
sink0_data[51] => sink0_data[51].IN1
sink0_data[52] => sink0_data[52].IN1
sink0_data[53] => sink0_data[53].IN1
sink0_data[54] => sink0_data[54].IN1
sink0_data[55] => sink0_data[55].IN1
sink0_data[56] => sink0_data[56].IN1
sink0_data[57] => sink0_data[57].IN1
sink0_data[58] => sink0_data[58].IN1
sink0_data[59] => sink0_data[59].IN1
sink0_data[60] => sink0_data[60].IN1
sink0_data[61] => sink0_data[61].IN1
sink0_data[62] => sink0_data[62].IN1
sink0_data[63] => sink0_data[63].IN1
sink0_data[64] => sink0_data[64].IN1
sink0_data[65] => sink0_data[65].IN1
sink0_data[66] => sink0_data[66].IN1
sink0_data[67] => sink0_data[67].IN1
sink0_data[68] => sink0_data[68].IN1
sink0_data[69] => sink0_data[69].IN1
sink0_data[70] => sink0_data[70].IN1
sink0_data[71] => sink0_data[71].IN1
sink0_data[72] => sink0_data[72].IN1
sink0_data[73] => sink0_data[73].IN1
sink0_data[74] => sink0_data[74].IN1
sink0_data[75] => sink0_data[75].IN1
sink0_data[76] => sink0_data[76].IN1
sink0_data[77] => sink0_data[77].IN1
sink0_data[78] => sink0_data[78].IN1
sink0_data[79] => sink0_data[79].IN1
sink0_data[80] => sink0_data[80].IN1
sink0_data[81] => sink0_data[81].IN1
sink0_data[82] => sink0_data[82].IN1
sink0_data[83] => sink0_data[83].IN1
sink0_data[84] => sink0_data[84].IN1
sink0_data[85] => sink0_data[85].IN1
sink0_data[86] => sink0_data[86].IN1
sink0_data[87] => sink0_data[87].IN1
sink0_data[88] => sink0_data[88].IN1
sink0_data[89] => sink0_data[89].IN1
sink0_data[90] => sink0_data[90].IN1
sink0_data[91] => sink0_data[91].IN1
sink0_data[92] => sink0_data[92].IN1
sink0_data[93] => sink0_data[93].IN1
sink0_data[94] => sink0_data[94].IN1
sink0_data[95] => sink0_data[95].IN1
sink0_data[96] => sink0_data[96].IN1
sink0_data[97] => sink0_data[97].IN1
sink0_data[98] => sink0_data[98].IN1
sink0_data[99] => sink0_data[99].IN1
sink0_data[100] => sink0_data[100].IN1
sink0_data[101] => sink0_data[101].IN1
sink0_data[102] => sink0_data[102].IN1
sink0_data[103] => sink0_data[103].IN1
sink0_data[104] => sink0_data[104].IN1
sink0_data[105] => sink0_data[105].IN1
sink0_data[106] => sink0_data[106].IN1
sink0_data[107] => sink0_data[107].IN1
sink0_data[108] => sink0_data[108].IN1
sink0_data[109] => sink0_data[109].IN1
sink0_data[110] => sink0_data[110].IN1
sink0_data[111] => sink0_data[111].IN1
sink0_data[112] => sink0_data[112].IN1
sink0_data[113] => sink0_data[113].IN1
sink0_data[114] => sink0_data[114].IN1
sink0_data[115] => sink0_data[115].IN1
sink0_data[116] => sink0_data[116].IN1
sink0_data[117] => sink0_data[117].IN1
sink0_data[118] => sink0_data[118].IN1
sink0_data[119] => sink0_data[119].IN1
sink0_data[120] => sink0_data[120].IN1
sink0_data[121] => sink0_data[121].IN1
sink0_data[122] => sink0_data[122].IN1
sink0_data[123] => sink0_data[123].IN1
sink0_data[124] => sink0_data[124].IN1
sink0_data[125] => sink0_data[125].IN1
sink0_data[126] => sink0_data[126].IN1
sink0_data[127] => sink0_data[127].IN1
sink0_data[128] => sink0_data[128].IN1
sink0_channel[0] => sink0_channel[0].IN1
sink0_channel[1] => sink0_channel[1].IN1
sink0_channel[2] => sink0_channel[2].IN1
sink0_channel[3] => sink0_channel[3].IN1
sink0_channel[4] => sink0_channel[4].IN1
sink0_channel[5] => sink0_channel[5].IN1
sink0_channel[6] => sink0_channel[6].IN1
sink0_channel[7] => sink0_channel[7].IN1
sink0_channel[8] => sink0_channel[8].IN1
sink0_channel[9] => sink0_channel[9].IN1
sink0_channel[10] => sink0_channel[10].IN1
sink0_channel[11] => sink0_channel[11].IN1
sink0_channel[12] => sink0_channel[12].IN1
sink0_channel[13] => sink0_channel[13].IN1
sink0_channel[14] => sink0_channel[14].IN1
sink0_channel[15] => sink0_channel[15].IN1
sink0_channel[16] => sink0_channel[16].IN1
sink0_channel[17] => sink0_channel[17].IN1
sink0_channel[18] => sink0_channel[18].IN1
sink0_channel[19] => sink0_channel[19].IN1
sink0_channel[20] => sink0_channel[20].IN1
sink0_channel[21] => sink0_channel[21].IN1
sink0_startofpacket => sink0_startofpacket.IN1
sink0_endofpacket => sink0_endofpacket.IN1
sink0_ready <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.sink0_ready
source0_valid <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_valid
source0_data[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[22] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[23] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[24] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[25] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[26] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[27] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[28] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[29] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[30] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[31] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[32] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[33] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[34] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[35] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[36] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[37] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[38] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[39] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[40] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[41] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[42] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[43] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[44] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[45] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[46] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[47] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[48] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[49] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[50] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[51] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[52] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[53] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[54] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[55] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[56] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[57] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[58] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[59] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[60] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[61] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[62] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[63] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[64] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[65] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[66] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[67] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[68] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[69] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[70] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[71] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[72] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[73] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[74] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[75] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[76] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[77] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[78] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[79] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[80] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[81] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[82] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[83] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[84] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[85] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[86] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[87] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[88] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[89] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[90] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[91] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[92] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[93] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[94] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[95] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[96] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[97] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[98] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[99] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[100] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[101] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[102] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[103] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[104] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[105] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[106] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[107] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[108] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[109] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[110] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[111] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[112] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[113] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[114] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[115] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[116] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[117] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[118] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[119] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[120] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[121] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[122] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[123] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[124] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[125] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[126] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[127] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_data[128] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_data
source0_channel[0] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[1] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[2] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[3] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[4] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[5] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[6] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[7] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[8] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[9] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[10] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[11] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[12] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[13] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[14] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[15] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[16] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[17] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[18] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[19] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[20] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_channel[21] <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_channel
source0_startofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_startofpacket
source0_endofpacket <= altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter.source0_endofpacket
source0_ready => source0_ready.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter
clk => clk.IN1
reset => reset.IN1
sink0_valid => in_valid.IN1
sink0_data[0] => in_data_reg[0].DATAIN
sink0_data[1] => in_data_reg[1].DATAIN
sink0_data[2] => in_data_reg[2].DATAIN
sink0_data[3] => in_data_reg[3].DATAIN
sink0_data[4] => in_data_reg[4].DATAIN
sink0_data[5] => in_data_reg[5].DATAIN
sink0_data[6] => in_data_reg[6].DATAIN
sink0_data[7] => in_data_reg[7].DATAIN
sink0_data[8] => in_data_reg[8].DATAIN
sink0_data[9] => in_data_reg[9].DATAIN
sink0_data[10] => in_data_reg[10].DATAIN
sink0_data[11] => in_data_reg[11].DATAIN
sink0_data[12] => in_data_reg[12].DATAIN
sink0_data[13] => in_data_reg[13].DATAIN
sink0_data[14] => in_data_reg[14].DATAIN
sink0_data[15] => in_data_reg[15].DATAIN
sink0_data[16] => in_data_reg[16].DATAIN
sink0_data[17] => in_data_reg[17].DATAIN
sink0_data[18] => in_data_reg[18].DATAIN
sink0_data[19] => in_data_reg[19].DATAIN
sink0_data[20] => in_data_reg[20].DATAIN
sink0_data[21] => in_data_reg[21].DATAIN
sink0_data[22] => in_data_reg[22].DATAIN
sink0_data[23] => in_data_reg[23].DATAIN
sink0_data[24] => in_data_reg[24].DATAIN
sink0_data[25] => in_data_reg[25].DATAIN
sink0_data[26] => in_data_reg[26].DATAIN
sink0_data[27] => in_data_reg[27].DATAIN
sink0_data[28] => in_data_reg[28].DATAIN
sink0_data[29] => in_data_reg[29].DATAIN
sink0_data[30] => in_data_reg[30].DATAIN
sink0_data[31] => in_data_reg[31].DATAIN
sink0_data[32] => in_byteen_reg[0].DATAIN
sink0_data[33] => in_byteen_reg[1].DATAIN
sink0_data[34] => in_byteen_reg[2].DATAIN
sink0_data[35] => in_byteen_reg[3].DATAIN
sink0_data[36] => d0_in_addr[0].IN1
sink0_data[37] => d0_in_addr[1].IN1
sink0_data[38] => d0_in_addr[2].IN1
sink0_data[39] => d0_in_addr[3].IN1
sink0_data[40] => d0_in_addr[4].IN1
sink0_data[41] => d0_in_addr[5].IN1
sink0_data[42] => d0_in_addr[6].IN1
sink0_data[43] => d0_in_addr[7].IN1
sink0_data[44] => d0_in_addr[8].IN1
sink0_data[45] => d0_in_addr[9].IN1
sink0_data[46] => d0_in_addr[10].IN1
sink0_data[47] => d0_in_addr[11].IN1
sink0_data[48] => d0_in_addr[12].IN1
sink0_data[49] => d0_in_addr[13].IN1
sink0_data[50] => d0_in_addr[14].IN1
sink0_data[51] => d0_in_addr[15].IN1
sink0_data[52] => d0_in_addr[16].IN1
sink0_data[53] => d0_in_addr[17].IN1
sink0_data[54] => d0_in_addr[18].IN1
sink0_data[55] => d0_in_addr[19].IN1
sink0_data[56] => d0_in_addr[20].IN1
sink0_data[57] => d0_in_addr[21].IN1
sink0_data[58] => d0_in_addr[22].IN1
sink0_data[59] => d0_in_addr[23].IN1
sink0_data[60] => d0_in_addr[24].IN1
sink0_data[61] => d0_in_addr[25].IN1
sink0_data[62] => d0_in_addr[26].IN1
sink0_data[63] => d0_in_addr[27].IN1
sink0_data[64] => d0_in_addr[28].IN1
sink0_data[65] => d0_in_addr[29].IN1
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.OUTPUTSELECT
sink0_data[66] => next_state.DATAB
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_uncompressed_read.IN0
sink0_data[66] => next_state.DATAA
sink0_data[66] => in_data_reg[66].DATAIN
sink0_data[67] => in_data_reg[67].DATAIN
sink0_data[68] => always3.IN1
sink0_data[68] => in_data_reg[68].DATAIN
sink0_data[69] => in_uncompressed_read.IN1
sink0_data[69] => in_data_reg[69].DATAIN
sink0_data[70] => in_data_reg[70].DATAIN
sink0_data[71] => in_data_reg[71].DATAIN
sink0_data[72] => d0_int_bytes_remaining.DATAB
sink0_data[72] => WideNor0.IN0
sink0_data[73] => d0_int_bytes_remaining.DATAB
sink0_data[73] => WideNor0.IN1
sink0_data[74] => d0_int_bytes_remaining.DATAB
sink0_data[74] => WideNor0.IN2
sink0_data[75] => d0_int_bytes_remaining.DATAB
sink0_data[75] => WideNor0.IN3
sink0_data[76] => d0_int_bytes_remaining.DATAB
sink0_data[76] => WideNor0.IN4
sink0_data[77] => d0_int_bytes_remaining.DATAB
sink0_data[77] => WideNor0.IN5
sink0_data[78] => d0_int_bytes_remaining.DATAB
sink0_data[78] => WideNor0.IN6
sink0_data[79] => nxt_out_burstwrap[0].DATAB
sink0_data[79] => in_burstwrap_reg[0].DATAIN
sink0_data[80] => nxt_out_burstwrap[1].DATAB
sink0_data[80] => in_burstwrap_reg[1].DATAIN
sink0_data[81] => nxt_out_burstwrap[2].DATAB
sink0_data[81] => in_burstwrap_reg[2].DATAIN
sink0_data[82] => nxt_out_burstwrap[3].DATAB
sink0_data[82] => in_burstwrap_reg[3].DATAIN
sink0_data[83] => nxt_out_burstwrap[4].DATAB
sink0_data[83] => in_burstwrap_reg[4].DATAIN
sink0_data[84] => nxt_out_burstwrap[5].DATAB
sink0_data[84] => in_burstwrap_reg[5].DATAIN
sink0_data[85] => nxt_out_burstwrap[6].DATAB
sink0_data[85] => in_burstwrap_reg[6].DATAIN
sink0_data[86] => LessThan0.IN14
sink0_data[86] => d0_in_size.DATAB
sink0_data[86] => in_data_reg[86].DATAIN
sink0_data[86] => in_size_reg[0].DATAIN
sink0_data[87] => LessThan0.IN13
sink0_data[87] => d0_in_size.DATAB
sink0_data[87] => in_data_reg[87].DATAIN
sink0_data[87] => in_size_reg[1].DATAIN
sink0_data[88] => LessThan0.IN12
sink0_data[88] => d0_in_size.DATAB
sink0_data[88] => in_data_reg[88].DATAIN
sink0_data[88] => in_size_reg[2].DATAIN
sink0_data[89] => in_bursttype_reg[0].DATAIN
sink0_data[90] => in_bursttype_reg[1].DATAIN
sink0_data[91] => in_data_reg[91].DATAIN
sink0_data[92] => in_data_reg[92].DATAIN
sink0_data[93] => in_data_reg[93].DATAIN
sink0_data[94] => in_data_reg[94].DATAIN
sink0_data[95] => in_data_reg[95].DATAIN
sink0_data[96] => in_data_reg[96].DATAIN
sink0_data[97] => in_data_reg[97].DATAIN
sink0_data[98] => in_data_reg[98].DATAIN
sink0_data[99] => in_data_reg[99].DATAIN
sink0_data[100] => in_data_reg[100].DATAIN
sink0_data[101] => in_data_reg[101].DATAIN
sink0_data[102] => in_data_reg[102].DATAIN
sink0_data[103] => in_data_reg[103].DATAIN
sink0_data[104] => in_data_reg[104].DATAIN
sink0_data[105] => in_data_reg[105].DATAIN
sink0_data[106] => in_data_reg[106].DATAIN
sink0_data[107] => in_data_reg[107].DATAIN
sink0_data[108] => in_data_reg[108].DATAIN
sink0_data[109] => in_data_reg[109].DATAIN
sink0_data[110] => in_data_reg[110].DATAIN
sink0_data[111] => in_data_reg[111].DATAIN
sink0_data[112] => in_data_reg[112].DATAIN
sink0_data[113] => in_data_reg[113].DATAIN
sink0_data[114] => in_data_reg[114].DATAIN
sink0_data[115] => in_data_reg[115].DATAIN
sink0_data[116] => in_data_reg[116].DATAIN
sink0_data[117] => in_data_reg[117].DATAIN
sink0_data[118] => in_data_reg[118].DATAIN
sink0_data[119] => in_data_reg[119].DATAIN
sink0_data[120] => in_data_reg[120].DATAIN
sink0_data[121] => in_data_reg[121].DATAIN
sink0_data[122] => in_data_reg[122].DATAIN
sink0_data[123] => in_data_reg[123].DATAIN
sink0_data[124] => in_data_reg[124].DATAIN
sink0_data[125] => in_data_reg[125].DATAIN
sink0_data[126] => in_data_reg[126].DATAIN
sink0_data[127] => in_data_reg[127].DATAIN
sink0_data[128] => in_data_reg[128].DATAIN
sink0_channel[0] => in_channel_reg[0].DATAIN
sink0_channel[1] => in_channel_reg[1].DATAIN
sink0_channel[2] => in_channel_reg[2].DATAIN
sink0_channel[3] => in_channel_reg[3].DATAIN
sink0_channel[4] => in_channel_reg[4].DATAIN
sink0_channel[5] => in_channel_reg[5].DATAIN
sink0_channel[6] => in_channel_reg[6].DATAIN
sink0_channel[7] => in_channel_reg[7].DATAIN
sink0_channel[8] => in_channel_reg[8].DATAIN
sink0_channel[9] => in_channel_reg[9].DATAIN
sink0_channel[10] => in_channel_reg[10].DATAIN
sink0_channel[11] => in_channel_reg[11].DATAIN
sink0_channel[12] => in_channel_reg[12].DATAIN
sink0_channel[13] => in_channel_reg[13].DATAIN
sink0_channel[14] => in_channel_reg[14].DATAIN
sink0_channel[15] => in_channel_reg[15].DATAIN
sink0_channel[16] => in_channel_reg[16].DATAIN
sink0_channel[17] => in_channel_reg[17].DATAIN
sink0_channel[18] => in_channel_reg[18].DATAIN
sink0_channel[19] => in_channel_reg[19].DATAIN
sink0_channel[20] => in_channel_reg[20].DATAIN
sink0_channel[21] => in_channel_reg[21].DATAIN
sink0_startofpacket => nxt_out_sop.DATAA
sink0_endofpacket => in_eop_reg.DATAIN
sink0_ready <= nxt_in_ready.DB_MAX_OUTPUT_PORT_TYPE
source0_valid <= out_valid_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_data[0] <= in_data_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[1] <= in_data_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[2] <= in_data_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[3] <= in_data_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[4] <= in_data_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[5] <= in_data_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[6] <= in_data_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[7] <= in_data_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[8] <= in_data_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[9] <= in_data_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[10] <= in_data_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[11] <= in_data_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[12] <= in_data_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[13] <= in_data_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[14] <= in_data_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[15] <= in_data_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[16] <= in_data_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[17] <= in_data_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[18] <= in_data_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[19] <= in_data_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[20] <= in_data_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[21] <= in_data_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[22] <= in_data_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[23] <= in_data_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[24] <= in_data_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[25] <= in_data_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[26] <= in_data_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[27] <= in_data_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[28] <= in_data_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[29] <= in_data_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[30] <= in_data_reg[30].DB_MAX_OUTPUT_PORT_TYPE
source0_data[31] <= in_data_reg[31].DB_MAX_OUTPUT_PORT_TYPE
source0_data[32] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[33] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[34] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[35] <= out_byteen.DB_MAX_OUTPUT_PORT_TYPE
source0_data[36] <= out_addr_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[37] <= out_addr_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[38] <= out_addr_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[39] <= out_addr_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[40] <= out_addr_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[41] <= out_addr_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[42] <= out_addr_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[43] <= out_addr_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_data[44] <= out_addr_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_data[45] <= out_addr_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_data[46] <= out_addr_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_data[47] <= out_addr_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_data[48] <= out_addr_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_data[49] <= out_addr_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_data[50] <= out_addr_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_data[51] <= out_addr_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_data[52] <= out_addr_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_data[53] <= out_addr_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_data[54] <= out_addr_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_data[55] <= out_addr_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_data[56] <= out_addr_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_data[57] <= out_addr_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_data[58] <= out_addr_reg[22].DB_MAX_OUTPUT_PORT_TYPE
source0_data[59] <= out_addr_reg[23].DB_MAX_OUTPUT_PORT_TYPE
source0_data[60] <= out_addr_reg[24].DB_MAX_OUTPUT_PORT_TYPE
source0_data[61] <= out_addr_reg[25].DB_MAX_OUTPUT_PORT_TYPE
source0_data[62] <= out_addr_reg[26].DB_MAX_OUTPUT_PORT_TYPE
source0_data[63] <= out_addr_reg[27].DB_MAX_OUTPUT_PORT_TYPE
source0_data[64] <= out_addr_reg[28].DB_MAX_OUTPUT_PORT_TYPE
source0_data[65] <= out_addr_reg[29].DB_MAX_OUTPUT_PORT_TYPE
source0_data[66] <= in_data_reg[66].DB_MAX_OUTPUT_PORT_TYPE
source0_data[67] <= in_data_reg[67].DB_MAX_OUTPUT_PORT_TYPE
source0_data[68] <= in_data_reg[68].DB_MAX_OUTPUT_PORT_TYPE
source0_data[69] <= in_data_reg[69].DB_MAX_OUTPUT_PORT_TYPE
source0_data[70] <= in_data_reg[70].DB_MAX_OUTPUT_PORT_TYPE
source0_data[71] <= in_data_reg[71].DB_MAX_OUTPUT_PORT_TYPE
source0_data[72] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[73] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[74] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[75] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[76] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[77] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[78] <= source0_data.DB_MAX_OUTPUT_PORT_TYPE
source0_data[79] <= out_burstwrap_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_data[80] <= out_burstwrap_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_data[81] <= out_burstwrap_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_data[82] <= out_burstwrap_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_data[83] <= out_burstwrap_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_data[84] <= out_burstwrap_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_data[85] <= out_burstwrap_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_data[86] <= in_data_reg[86].DB_MAX_OUTPUT_PORT_TYPE
source0_data[87] <= in_data_reg[87].DB_MAX_OUTPUT_PORT_TYPE
source0_data[88] <= in_data_reg[88].DB_MAX_OUTPUT_PORT_TYPE
source0_data[89] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[90] <= out_bursttype.DB_MAX_OUTPUT_PORT_TYPE
source0_data[91] <= in_data_reg[91].DB_MAX_OUTPUT_PORT_TYPE
source0_data[92] <= in_data_reg[92].DB_MAX_OUTPUT_PORT_TYPE
source0_data[93] <= in_data_reg[93].DB_MAX_OUTPUT_PORT_TYPE
source0_data[94] <= in_data_reg[94].DB_MAX_OUTPUT_PORT_TYPE
source0_data[95] <= in_data_reg[95].DB_MAX_OUTPUT_PORT_TYPE
source0_data[96] <= in_data_reg[96].DB_MAX_OUTPUT_PORT_TYPE
source0_data[97] <= in_data_reg[97].DB_MAX_OUTPUT_PORT_TYPE
source0_data[98] <= in_data_reg[98].DB_MAX_OUTPUT_PORT_TYPE
source0_data[99] <= in_data_reg[99].DB_MAX_OUTPUT_PORT_TYPE
source0_data[100] <= in_data_reg[100].DB_MAX_OUTPUT_PORT_TYPE
source0_data[101] <= in_data_reg[101].DB_MAX_OUTPUT_PORT_TYPE
source0_data[102] <= in_data_reg[102].DB_MAX_OUTPUT_PORT_TYPE
source0_data[103] <= in_data_reg[103].DB_MAX_OUTPUT_PORT_TYPE
source0_data[104] <= in_data_reg[104].DB_MAX_OUTPUT_PORT_TYPE
source0_data[105] <= in_data_reg[105].DB_MAX_OUTPUT_PORT_TYPE
source0_data[106] <= in_data_reg[106].DB_MAX_OUTPUT_PORT_TYPE
source0_data[107] <= in_data_reg[107].DB_MAX_OUTPUT_PORT_TYPE
source0_data[108] <= in_data_reg[108].DB_MAX_OUTPUT_PORT_TYPE
source0_data[109] <= in_data_reg[109].DB_MAX_OUTPUT_PORT_TYPE
source0_data[110] <= in_data_reg[110].DB_MAX_OUTPUT_PORT_TYPE
source0_data[111] <= in_data_reg[111].DB_MAX_OUTPUT_PORT_TYPE
source0_data[112] <= in_data_reg[112].DB_MAX_OUTPUT_PORT_TYPE
source0_data[113] <= in_data_reg[113].DB_MAX_OUTPUT_PORT_TYPE
source0_data[114] <= in_data_reg[114].DB_MAX_OUTPUT_PORT_TYPE
source0_data[115] <= in_data_reg[115].DB_MAX_OUTPUT_PORT_TYPE
source0_data[116] <= in_data_reg[116].DB_MAX_OUTPUT_PORT_TYPE
source0_data[117] <= in_data_reg[117].DB_MAX_OUTPUT_PORT_TYPE
source0_data[118] <= in_data_reg[118].DB_MAX_OUTPUT_PORT_TYPE
source0_data[119] <= in_data_reg[119].DB_MAX_OUTPUT_PORT_TYPE
source0_data[120] <= in_data_reg[120].DB_MAX_OUTPUT_PORT_TYPE
source0_data[121] <= in_data_reg[121].DB_MAX_OUTPUT_PORT_TYPE
source0_data[122] <= in_data_reg[122].DB_MAX_OUTPUT_PORT_TYPE
source0_data[123] <= in_data_reg[123].DB_MAX_OUTPUT_PORT_TYPE
source0_data[124] <= in_data_reg[124].DB_MAX_OUTPUT_PORT_TYPE
source0_data[125] <= in_data_reg[125].DB_MAX_OUTPUT_PORT_TYPE
source0_data[126] <= in_data_reg[126].DB_MAX_OUTPUT_PORT_TYPE
source0_data[127] <= in_data_reg[127].DB_MAX_OUTPUT_PORT_TYPE
source0_data[128] <= in_data_reg[128].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[0] <= in_channel_reg[0].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[1] <= in_channel_reg[1].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[2] <= in_channel_reg[2].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[3] <= in_channel_reg[3].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[4] <= in_channel_reg[4].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[5] <= in_channel_reg[5].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[6] <= in_channel_reg[6].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[7] <= in_channel_reg[7].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[8] <= in_channel_reg[8].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[9] <= in_channel_reg[9].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[10] <= in_channel_reg[10].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[11] <= in_channel_reg[11].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[12] <= in_channel_reg[12].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[13] <= in_channel_reg[13].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[14] <= in_channel_reg[14].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[15] <= in_channel_reg[15].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[16] <= in_channel_reg[16].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[17] <= in_channel_reg[17].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[18] <= in_channel_reg[18].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[19] <= in_channel_reg[19].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[20] <= in_channel_reg[20].DB_MAX_OUTPUT_PORT_TYPE
source0_channel[21] <= in_channel_reg[21].DB_MAX_OUTPUT_PORT_TYPE
source0_startofpacket <= out_sop_reg.DB_MAX_OUTPUT_PORT_TYPE
source0_endofpacket <= nxt_out_eop.DB_MAX_OUTPUT_PORT_TYPE
source0_ready => nxt_in_ready.IN1
source0_ready => load_next_out_cmd.IN1
source0_ready => nxt_out_sop.IN0
source0_ready => nxt_out_eop.OUTPUTSELECT
source0_ready => nxt_uncomp_subburst_byte_cnt.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
in_data[0] => LessThan0.IN6
in_data[0] => LessThan1.IN6
in_data[1] => LessThan0.IN5
in_data[1] => LessThan1.IN5
in_data[2] => LessThan0.IN4
in_data[2] => LessThan1.IN4
in_data[3] => aligned_address_bits.IN1
in_data[4] => aligned_address_bits.IN1
in_data[5] => out_data[2].DATAIN
in_data[6] => out_data[3].DATAIN
in_data[7] => out_data[4].DATAIN
in_data[8] => out_data[5].DATAIN
in_data[9] => out_data[6].DATAIN
in_data[10] => out_data[7].DATAIN
in_data[11] => out_data[8].DATAIN
in_data[12] => out_data[9].DATAIN
in_data[13] => out_data[10].DATAIN
in_data[14] => out_data[11].DATAIN
in_data[15] => out_data[12].DATAIN
in_data[16] => out_data[13].DATAIN
in_data[17] => out_data[14].DATAIN
in_data[18] => out_data[15].DATAIN
in_data[19] => out_data[16].DATAIN
in_data[20] => out_data[17].DATAIN
in_data[21] => out_data[18].DATAIN
in_data[22] => out_data[19].DATAIN
in_data[23] => out_data[20].DATAIN
in_data[24] => out_data[21].DATAIN
in_data[25] => out_data[22].DATAIN
in_data[26] => out_data[23].DATAIN
in_data[27] => out_data[24].DATAIN
in_data[28] => out_data[25].DATAIN
in_data[29] => out_data[26].DATAIN
in_data[30] => out_data[27].DATAIN
in_data[31] => out_data[28].DATAIN
in_data[32] => out_data[29].DATAIN
in_valid => ~NO_FANOUT~
in_sop => ~NO_FANOUT~
in_eop => ~NO_FANOUT~
out_data[0] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= aligned_address_bits.DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= LessThan1.DB_MAX_OUTPUT_PORT_TYPE
out_ready => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment
mask[0] => inc.IN0
mask[0] => inc[0].DATAIN
mask[1] => inc.IN0
mask[1] => inc.IN1
mask[2] => inc.IN0
mask[2] => inc.IN1
mask[3] => inc.IN0
mask[3] => inc.IN1
mask[4] => inc.IN0
mask[4] => inc.IN1
mask[5] => inc.IN0
mask[5] => inc.IN1
mask[6] => inc.IN1
inc[0] <= mask[0].DB_MAX_OUTPUT_PORT_TYPE
inc[1] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[2] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[3] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[4] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[5] <= inc.DB_MAX_OUTPUT_PORT_TYPE
inc[6] <= inc.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
a[0] => a_reg[0].IN3
a[1] => a_reg[1].IN3
a[2] => a_reg[2].IN3
a[3] => a_reg[3].IN3
a[4] => a_reg[4].IN3
a[5] => a_reg[5].IN3
a[6] => a_reg[6].IN3
b[0] => b_reg[0].IN3
b[1] => b_reg[1].IN3
b[2] => b_reg[2].IN3
b[3] => b_reg[3].IN3
b[4] => b_reg[4].IN3
b[5] => b_reg[5].IN3
b[6] => b_reg[6].IN3
c[0] => c_reg[0].IN3
c[1] => c_reg[1].IN3
c[2] => c_reg[2].IN3
c[3] => c_reg[3].IN3
c[4] => c_reg[4].IN3
c[5] => c_reg[5].IN3
c[6] => c_reg[6].IN3
c_enable => Decoder0.IN3
c_enable => comb.IN1
d[0] => d_reg[0].IN3
d[1] => d_reg[1].IN3
d[2] => d_reg[2].IN3
d[3] => d_reg[3].IN3
d[4] => d_reg[4].IN3
d[5] => d_reg[5].IN3
d[6] => d_reg[6].IN3
result[0] <= Selector6.DB_MAX_OUTPUT_PORT_TYPE
result[1] <= Selector5.DB_MAX_OUTPUT_PORT_TYPE
result[2] <= Selector4.DB_MAX_OUTPUT_PORT_TYPE
result[3] <= Selector3.DB_MAX_OUTPUT_PORT_TYPE
result[4] <= Selector2.DB_MAX_OUTPUT_PORT_TYPE
result[5] <= Selector1.DB_MAX_OUTPUT_PORT_TYPE
result[6] <= Selector0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ac_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:bc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:da_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:db_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub
a[0] => a[0].IN1
a[1] => a[1].IN1
a[2] => a[2].IN1
a[3] => a[3].IN1
a[4] => a[4].IN1
a[5] => a[5].IN1
a[6] => a[6].IN1
a[7] => a[7].IN1
b[0] => _.IN1
b[1] => _.IN1
b[2] => _.IN1
b[3] => _.IN1
b[4] => _.IN1
b[5] => _.IN1
b[6] => _.IN1
b[7] => _.IN1
diff[0] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[1] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[2] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[3] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[4] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[5] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[6] <= altera_merlin_burst_adapter_adder:subtract.sum
diff[7] <= altera_merlin_burst_adapter_adder:subtract.sum


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|altera_merlin_burst_adapter:counter_rx_fifo_s1_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:dc_sub|altera_merlin_burst_adapter_adder:subtract
cin => sum.IN1
cin => carry.IN0
cin => carry.IN0
a[0] => sum.IN0
a[0] => carry.IN0
a[0] => carry.IN1
a[1] => sum.IN0
a[1] => carry.IN0
a[1] => carry.IN1
a[2] => sum.IN0
a[2] => carry.IN0
a[2] => carry.IN1
a[3] => sum.IN0
a[3] => carry.IN0
a[3] => carry.IN1
a[4] => sum.IN0
a[4] => carry.IN0
a[4] => carry.IN1
a[5] => sum.IN0
a[5] => carry.IN0
a[5] => carry.IN1
a[6] => sum.IN0
a[6] => carry.IN0
a[6] => carry.IN1
a[7] => sum.IN0
b[0] => sum.IN1
b[0] => carry.IN1
b[0] => carry.IN1
b[1] => sum.IN1
b[1] => carry.IN1
b[1] => carry.IN1
b[2] => sum.IN1
b[2] => carry.IN1
b[2] => carry.IN1
b[3] => sum.IN1
b[3] => carry.IN1
b[3] => carry.IN1
b[4] => sum.IN1
b[4] => carry.IN1
b[4] => carry.IN1
b[5] => sum.IN1
b[5] => carry.IN1
b[5] => carry.IN1
b[6] => sum.IN1
b[6] => carry.IN1
b[6] => carry.IN1
b[7] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_demux:cmd_demux
sink_valid[0] => src0_valid.IN0
sink_valid[1] => src1_valid.IN0
sink_valid[2] => src2_valid.IN0
sink_valid[3] => src3_valid.IN0
sink_valid[4] => src4_valid.IN0
sink_valid[5] => src5_valid.IN0
sink_valid[6] => src6_valid.IN0
sink_valid[7] => src7_valid.IN0
sink_valid[8] => src8_valid.IN0
sink_valid[9] => src9_valid.IN0
sink_valid[10] => src10_valid.IN0
sink_valid[11] => src11_valid.IN0
sink_valid[12] => src12_valid.IN0
sink_valid[13] => src13_valid.IN0
sink_valid[14] => src14_valid.IN0
sink_valid[15] => src15_valid.IN0
sink_valid[16] => src16_valid.IN0
sink_valid[17] => src17_valid.IN0
sink_valid[18] => src18_valid.IN0
sink_valid[19] => src19_valid.IN0
sink_valid[20] => src20_valid.IN0
sink_valid[21] => src21_valid.IN0
sink_data[0] => src21_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src2_data[0].DATAIN
sink_data[0] => src3_data[0].DATAIN
sink_data[0] => src4_data[0].DATAIN
sink_data[0] => src5_data[0].DATAIN
sink_data[0] => src6_data[0].DATAIN
sink_data[0] => src7_data[0].DATAIN
sink_data[0] => src8_data[0].DATAIN
sink_data[0] => src9_data[0].DATAIN
sink_data[0] => src10_data[0].DATAIN
sink_data[0] => src11_data[0].DATAIN
sink_data[0] => src12_data[0].DATAIN
sink_data[0] => src13_data[0].DATAIN
sink_data[0] => src14_data[0].DATAIN
sink_data[0] => src15_data[0].DATAIN
sink_data[0] => src16_data[0].DATAIN
sink_data[0] => src17_data[0].DATAIN
sink_data[0] => src18_data[0].DATAIN
sink_data[0] => src19_data[0].DATAIN
sink_data[0] => src20_data[0].DATAIN
sink_data[1] => src21_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src2_data[1].DATAIN
sink_data[1] => src3_data[1].DATAIN
sink_data[1] => src4_data[1].DATAIN
sink_data[1] => src5_data[1].DATAIN
sink_data[1] => src6_data[1].DATAIN
sink_data[1] => src7_data[1].DATAIN
sink_data[1] => src8_data[1].DATAIN
sink_data[1] => src9_data[1].DATAIN
sink_data[1] => src10_data[1].DATAIN
sink_data[1] => src11_data[1].DATAIN
sink_data[1] => src12_data[1].DATAIN
sink_data[1] => src13_data[1].DATAIN
sink_data[1] => src14_data[1].DATAIN
sink_data[1] => src15_data[1].DATAIN
sink_data[1] => src16_data[1].DATAIN
sink_data[1] => src17_data[1].DATAIN
sink_data[1] => src18_data[1].DATAIN
sink_data[1] => src19_data[1].DATAIN
sink_data[1] => src20_data[1].DATAIN
sink_data[2] => src21_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src2_data[2].DATAIN
sink_data[2] => src3_data[2].DATAIN
sink_data[2] => src4_data[2].DATAIN
sink_data[2] => src5_data[2].DATAIN
sink_data[2] => src6_data[2].DATAIN
sink_data[2] => src7_data[2].DATAIN
sink_data[2] => src8_data[2].DATAIN
sink_data[2] => src9_data[2].DATAIN
sink_data[2] => src10_data[2].DATAIN
sink_data[2] => src11_data[2].DATAIN
sink_data[2] => src12_data[2].DATAIN
sink_data[2] => src13_data[2].DATAIN
sink_data[2] => src14_data[2].DATAIN
sink_data[2] => src15_data[2].DATAIN
sink_data[2] => src16_data[2].DATAIN
sink_data[2] => src17_data[2].DATAIN
sink_data[2] => src18_data[2].DATAIN
sink_data[2] => src19_data[2].DATAIN
sink_data[2] => src20_data[2].DATAIN
sink_data[3] => src21_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src2_data[3].DATAIN
sink_data[3] => src3_data[3].DATAIN
sink_data[3] => src4_data[3].DATAIN
sink_data[3] => src5_data[3].DATAIN
sink_data[3] => src6_data[3].DATAIN
sink_data[3] => src7_data[3].DATAIN
sink_data[3] => src8_data[3].DATAIN
sink_data[3] => src9_data[3].DATAIN
sink_data[3] => src10_data[3].DATAIN
sink_data[3] => src11_data[3].DATAIN
sink_data[3] => src12_data[3].DATAIN
sink_data[3] => src13_data[3].DATAIN
sink_data[3] => src14_data[3].DATAIN
sink_data[3] => src15_data[3].DATAIN
sink_data[3] => src16_data[3].DATAIN
sink_data[3] => src17_data[3].DATAIN
sink_data[3] => src18_data[3].DATAIN
sink_data[3] => src19_data[3].DATAIN
sink_data[3] => src20_data[3].DATAIN
sink_data[4] => src21_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src2_data[4].DATAIN
sink_data[4] => src3_data[4].DATAIN
sink_data[4] => src4_data[4].DATAIN
sink_data[4] => src5_data[4].DATAIN
sink_data[4] => src6_data[4].DATAIN
sink_data[4] => src7_data[4].DATAIN
sink_data[4] => src8_data[4].DATAIN
sink_data[4] => src9_data[4].DATAIN
sink_data[4] => src10_data[4].DATAIN
sink_data[4] => src11_data[4].DATAIN
sink_data[4] => src12_data[4].DATAIN
sink_data[4] => src13_data[4].DATAIN
sink_data[4] => src14_data[4].DATAIN
sink_data[4] => src15_data[4].DATAIN
sink_data[4] => src16_data[4].DATAIN
sink_data[4] => src17_data[4].DATAIN
sink_data[4] => src18_data[4].DATAIN
sink_data[4] => src19_data[4].DATAIN
sink_data[4] => src20_data[4].DATAIN
sink_data[5] => src21_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src2_data[5].DATAIN
sink_data[5] => src3_data[5].DATAIN
sink_data[5] => src4_data[5].DATAIN
sink_data[5] => src5_data[5].DATAIN
sink_data[5] => src6_data[5].DATAIN
sink_data[5] => src7_data[5].DATAIN
sink_data[5] => src8_data[5].DATAIN
sink_data[5] => src9_data[5].DATAIN
sink_data[5] => src10_data[5].DATAIN
sink_data[5] => src11_data[5].DATAIN
sink_data[5] => src12_data[5].DATAIN
sink_data[5] => src13_data[5].DATAIN
sink_data[5] => src14_data[5].DATAIN
sink_data[5] => src15_data[5].DATAIN
sink_data[5] => src16_data[5].DATAIN
sink_data[5] => src17_data[5].DATAIN
sink_data[5] => src18_data[5].DATAIN
sink_data[5] => src19_data[5].DATAIN
sink_data[5] => src20_data[5].DATAIN
sink_data[6] => src21_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src2_data[6].DATAIN
sink_data[6] => src3_data[6].DATAIN
sink_data[6] => src4_data[6].DATAIN
sink_data[6] => src5_data[6].DATAIN
sink_data[6] => src6_data[6].DATAIN
sink_data[6] => src7_data[6].DATAIN
sink_data[6] => src8_data[6].DATAIN
sink_data[6] => src9_data[6].DATAIN
sink_data[6] => src10_data[6].DATAIN
sink_data[6] => src11_data[6].DATAIN
sink_data[6] => src12_data[6].DATAIN
sink_data[6] => src13_data[6].DATAIN
sink_data[6] => src14_data[6].DATAIN
sink_data[6] => src15_data[6].DATAIN
sink_data[6] => src16_data[6].DATAIN
sink_data[6] => src17_data[6].DATAIN
sink_data[6] => src18_data[6].DATAIN
sink_data[6] => src19_data[6].DATAIN
sink_data[6] => src20_data[6].DATAIN
sink_data[7] => src21_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src2_data[7].DATAIN
sink_data[7] => src3_data[7].DATAIN
sink_data[7] => src4_data[7].DATAIN
sink_data[7] => src5_data[7].DATAIN
sink_data[7] => src6_data[7].DATAIN
sink_data[7] => src7_data[7].DATAIN
sink_data[7] => src8_data[7].DATAIN
sink_data[7] => src9_data[7].DATAIN
sink_data[7] => src10_data[7].DATAIN
sink_data[7] => src11_data[7].DATAIN
sink_data[7] => src12_data[7].DATAIN
sink_data[7] => src13_data[7].DATAIN
sink_data[7] => src14_data[7].DATAIN
sink_data[7] => src15_data[7].DATAIN
sink_data[7] => src16_data[7].DATAIN
sink_data[7] => src17_data[7].DATAIN
sink_data[7] => src18_data[7].DATAIN
sink_data[7] => src19_data[7].DATAIN
sink_data[7] => src20_data[7].DATAIN
sink_data[8] => src21_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src2_data[8].DATAIN
sink_data[8] => src3_data[8].DATAIN
sink_data[8] => src4_data[8].DATAIN
sink_data[8] => src5_data[8].DATAIN
sink_data[8] => src6_data[8].DATAIN
sink_data[8] => src7_data[8].DATAIN
sink_data[8] => src8_data[8].DATAIN
sink_data[8] => src9_data[8].DATAIN
sink_data[8] => src10_data[8].DATAIN
sink_data[8] => src11_data[8].DATAIN
sink_data[8] => src12_data[8].DATAIN
sink_data[8] => src13_data[8].DATAIN
sink_data[8] => src14_data[8].DATAIN
sink_data[8] => src15_data[8].DATAIN
sink_data[8] => src16_data[8].DATAIN
sink_data[8] => src17_data[8].DATAIN
sink_data[8] => src18_data[8].DATAIN
sink_data[8] => src19_data[8].DATAIN
sink_data[8] => src20_data[8].DATAIN
sink_data[9] => src21_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src2_data[9].DATAIN
sink_data[9] => src3_data[9].DATAIN
sink_data[9] => src4_data[9].DATAIN
sink_data[9] => src5_data[9].DATAIN
sink_data[9] => src6_data[9].DATAIN
sink_data[9] => src7_data[9].DATAIN
sink_data[9] => src8_data[9].DATAIN
sink_data[9] => src9_data[9].DATAIN
sink_data[9] => src10_data[9].DATAIN
sink_data[9] => src11_data[9].DATAIN
sink_data[9] => src12_data[9].DATAIN
sink_data[9] => src13_data[9].DATAIN
sink_data[9] => src14_data[9].DATAIN
sink_data[9] => src15_data[9].DATAIN
sink_data[9] => src16_data[9].DATAIN
sink_data[9] => src17_data[9].DATAIN
sink_data[9] => src18_data[9].DATAIN
sink_data[9] => src19_data[9].DATAIN
sink_data[9] => src20_data[9].DATAIN
sink_data[10] => src21_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src2_data[10].DATAIN
sink_data[10] => src3_data[10].DATAIN
sink_data[10] => src4_data[10].DATAIN
sink_data[10] => src5_data[10].DATAIN
sink_data[10] => src6_data[10].DATAIN
sink_data[10] => src7_data[10].DATAIN
sink_data[10] => src8_data[10].DATAIN
sink_data[10] => src9_data[10].DATAIN
sink_data[10] => src10_data[10].DATAIN
sink_data[10] => src11_data[10].DATAIN
sink_data[10] => src12_data[10].DATAIN
sink_data[10] => src13_data[10].DATAIN
sink_data[10] => src14_data[10].DATAIN
sink_data[10] => src15_data[10].DATAIN
sink_data[10] => src16_data[10].DATAIN
sink_data[10] => src17_data[10].DATAIN
sink_data[10] => src18_data[10].DATAIN
sink_data[10] => src19_data[10].DATAIN
sink_data[10] => src20_data[10].DATAIN
sink_data[11] => src21_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src2_data[11].DATAIN
sink_data[11] => src3_data[11].DATAIN
sink_data[11] => src4_data[11].DATAIN
sink_data[11] => src5_data[11].DATAIN
sink_data[11] => src6_data[11].DATAIN
sink_data[11] => src7_data[11].DATAIN
sink_data[11] => src8_data[11].DATAIN
sink_data[11] => src9_data[11].DATAIN
sink_data[11] => src10_data[11].DATAIN
sink_data[11] => src11_data[11].DATAIN
sink_data[11] => src12_data[11].DATAIN
sink_data[11] => src13_data[11].DATAIN
sink_data[11] => src14_data[11].DATAIN
sink_data[11] => src15_data[11].DATAIN
sink_data[11] => src16_data[11].DATAIN
sink_data[11] => src17_data[11].DATAIN
sink_data[11] => src18_data[11].DATAIN
sink_data[11] => src19_data[11].DATAIN
sink_data[11] => src20_data[11].DATAIN
sink_data[12] => src21_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src2_data[12].DATAIN
sink_data[12] => src3_data[12].DATAIN
sink_data[12] => src4_data[12].DATAIN
sink_data[12] => src5_data[12].DATAIN
sink_data[12] => src6_data[12].DATAIN
sink_data[12] => src7_data[12].DATAIN
sink_data[12] => src8_data[12].DATAIN
sink_data[12] => src9_data[12].DATAIN
sink_data[12] => src10_data[12].DATAIN
sink_data[12] => src11_data[12].DATAIN
sink_data[12] => src12_data[12].DATAIN
sink_data[12] => src13_data[12].DATAIN
sink_data[12] => src14_data[12].DATAIN
sink_data[12] => src15_data[12].DATAIN
sink_data[12] => src16_data[12].DATAIN
sink_data[12] => src17_data[12].DATAIN
sink_data[12] => src18_data[12].DATAIN
sink_data[12] => src19_data[12].DATAIN
sink_data[12] => src20_data[12].DATAIN
sink_data[13] => src21_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src2_data[13].DATAIN
sink_data[13] => src3_data[13].DATAIN
sink_data[13] => src4_data[13].DATAIN
sink_data[13] => src5_data[13].DATAIN
sink_data[13] => src6_data[13].DATAIN
sink_data[13] => src7_data[13].DATAIN
sink_data[13] => src8_data[13].DATAIN
sink_data[13] => src9_data[13].DATAIN
sink_data[13] => src10_data[13].DATAIN
sink_data[13] => src11_data[13].DATAIN
sink_data[13] => src12_data[13].DATAIN
sink_data[13] => src13_data[13].DATAIN
sink_data[13] => src14_data[13].DATAIN
sink_data[13] => src15_data[13].DATAIN
sink_data[13] => src16_data[13].DATAIN
sink_data[13] => src17_data[13].DATAIN
sink_data[13] => src18_data[13].DATAIN
sink_data[13] => src19_data[13].DATAIN
sink_data[13] => src20_data[13].DATAIN
sink_data[14] => src21_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src2_data[14].DATAIN
sink_data[14] => src3_data[14].DATAIN
sink_data[14] => src4_data[14].DATAIN
sink_data[14] => src5_data[14].DATAIN
sink_data[14] => src6_data[14].DATAIN
sink_data[14] => src7_data[14].DATAIN
sink_data[14] => src8_data[14].DATAIN
sink_data[14] => src9_data[14].DATAIN
sink_data[14] => src10_data[14].DATAIN
sink_data[14] => src11_data[14].DATAIN
sink_data[14] => src12_data[14].DATAIN
sink_data[14] => src13_data[14].DATAIN
sink_data[14] => src14_data[14].DATAIN
sink_data[14] => src15_data[14].DATAIN
sink_data[14] => src16_data[14].DATAIN
sink_data[14] => src17_data[14].DATAIN
sink_data[14] => src18_data[14].DATAIN
sink_data[14] => src19_data[14].DATAIN
sink_data[14] => src20_data[14].DATAIN
sink_data[15] => src21_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src2_data[15].DATAIN
sink_data[15] => src3_data[15].DATAIN
sink_data[15] => src4_data[15].DATAIN
sink_data[15] => src5_data[15].DATAIN
sink_data[15] => src6_data[15].DATAIN
sink_data[15] => src7_data[15].DATAIN
sink_data[15] => src8_data[15].DATAIN
sink_data[15] => src9_data[15].DATAIN
sink_data[15] => src10_data[15].DATAIN
sink_data[15] => src11_data[15].DATAIN
sink_data[15] => src12_data[15].DATAIN
sink_data[15] => src13_data[15].DATAIN
sink_data[15] => src14_data[15].DATAIN
sink_data[15] => src15_data[15].DATAIN
sink_data[15] => src16_data[15].DATAIN
sink_data[15] => src17_data[15].DATAIN
sink_data[15] => src18_data[15].DATAIN
sink_data[15] => src19_data[15].DATAIN
sink_data[15] => src20_data[15].DATAIN
sink_data[16] => src21_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src2_data[16].DATAIN
sink_data[16] => src3_data[16].DATAIN
sink_data[16] => src4_data[16].DATAIN
sink_data[16] => src5_data[16].DATAIN
sink_data[16] => src6_data[16].DATAIN
sink_data[16] => src7_data[16].DATAIN
sink_data[16] => src8_data[16].DATAIN
sink_data[16] => src9_data[16].DATAIN
sink_data[16] => src10_data[16].DATAIN
sink_data[16] => src11_data[16].DATAIN
sink_data[16] => src12_data[16].DATAIN
sink_data[16] => src13_data[16].DATAIN
sink_data[16] => src14_data[16].DATAIN
sink_data[16] => src15_data[16].DATAIN
sink_data[16] => src16_data[16].DATAIN
sink_data[16] => src17_data[16].DATAIN
sink_data[16] => src18_data[16].DATAIN
sink_data[16] => src19_data[16].DATAIN
sink_data[16] => src20_data[16].DATAIN
sink_data[17] => src21_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src2_data[17].DATAIN
sink_data[17] => src3_data[17].DATAIN
sink_data[17] => src4_data[17].DATAIN
sink_data[17] => src5_data[17].DATAIN
sink_data[17] => src6_data[17].DATAIN
sink_data[17] => src7_data[17].DATAIN
sink_data[17] => src8_data[17].DATAIN
sink_data[17] => src9_data[17].DATAIN
sink_data[17] => src10_data[17].DATAIN
sink_data[17] => src11_data[17].DATAIN
sink_data[17] => src12_data[17].DATAIN
sink_data[17] => src13_data[17].DATAIN
sink_data[17] => src14_data[17].DATAIN
sink_data[17] => src15_data[17].DATAIN
sink_data[17] => src16_data[17].DATAIN
sink_data[17] => src17_data[17].DATAIN
sink_data[17] => src18_data[17].DATAIN
sink_data[17] => src19_data[17].DATAIN
sink_data[17] => src20_data[17].DATAIN
sink_data[18] => src21_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src2_data[18].DATAIN
sink_data[18] => src3_data[18].DATAIN
sink_data[18] => src4_data[18].DATAIN
sink_data[18] => src5_data[18].DATAIN
sink_data[18] => src6_data[18].DATAIN
sink_data[18] => src7_data[18].DATAIN
sink_data[18] => src8_data[18].DATAIN
sink_data[18] => src9_data[18].DATAIN
sink_data[18] => src10_data[18].DATAIN
sink_data[18] => src11_data[18].DATAIN
sink_data[18] => src12_data[18].DATAIN
sink_data[18] => src13_data[18].DATAIN
sink_data[18] => src14_data[18].DATAIN
sink_data[18] => src15_data[18].DATAIN
sink_data[18] => src16_data[18].DATAIN
sink_data[18] => src17_data[18].DATAIN
sink_data[18] => src18_data[18].DATAIN
sink_data[18] => src19_data[18].DATAIN
sink_data[18] => src20_data[18].DATAIN
sink_data[19] => src21_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src2_data[19].DATAIN
sink_data[19] => src3_data[19].DATAIN
sink_data[19] => src4_data[19].DATAIN
sink_data[19] => src5_data[19].DATAIN
sink_data[19] => src6_data[19].DATAIN
sink_data[19] => src7_data[19].DATAIN
sink_data[19] => src8_data[19].DATAIN
sink_data[19] => src9_data[19].DATAIN
sink_data[19] => src10_data[19].DATAIN
sink_data[19] => src11_data[19].DATAIN
sink_data[19] => src12_data[19].DATAIN
sink_data[19] => src13_data[19].DATAIN
sink_data[19] => src14_data[19].DATAIN
sink_data[19] => src15_data[19].DATAIN
sink_data[19] => src16_data[19].DATAIN
sink_data[19] => src17_data[19].DATAIN
sink_data[19] => src18_data[19].DATAIN
sink_data[19] => src19_data[19].DATAIN
sink_data[19] => src20_data[19].DATAIN
sink_data[20] => src21_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src2_data[20].DATAIN
sink_data[20] => src3_data[20].DATAIN
sink_data[20] => src4_data[20].DATAIN
sink_data[20] => src5_data[20].DATAIN
sink_data[20] => src6_data[20].DATAIN
sink_data[20] => src7_data[20].DATAIN
sink_data[20] => src8_data[20].DATAIN
sink_data[20] => src9_data[20].DATAIN
sink_data[20] => src10_data[20].DATAIN
sink_data[20] => src11_data[20].DATAIN
sink_data[20] => src12_data[20].DATAIN
sink_data[20] => src13_data[20].DATAIN
sink_data[20] => src14_data[20].DATAIN
sink_data[20] => src15_data[20].DATAIN
sink_data[20] => src16_data[20].DATAIN
sink_data[20] => src17_data[20].DATAIN
sink_data[20] => src18_data[20].DATAIN
sink_data[20] => src19_data[20].DATAIN
sink_data[20] => src20_data[20].DATAIN
sink_data[21] => src21_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src2_data[21].DATAIN
sink_data[21] => src3_data[21].DATAIN
sink_data[21] => src4_data[21].DATAIN
sink_data[21] => src5_data[21].DATAIN
sink_data[21] => src6_data[21].DATAIN
sink_data[21] => src7_data[21].DATAIN
sink_data[21] => src8_data[21].DATAIN
sink_data[21] => src9_data[21].DATAIN
sink_data[21] => src10_data[21].DATAIN
sink_data[21] => src11_data[21].DATAIN
sink_data[21] => src12_data[21].DATAIN
sink_data[21] => src13_data[21].DATAIN
sink_data[21] => src14_data[21].DATAIN
sink_data[21] => src15_data[21].DATAIN
sink_data[21] => src16_data[21].DATAIN
sink_data[21] => src17_data[21].DATAIN
sink_data[21] => src18_data[21].DATAIN
sink_data[21] => src19_data[21].DATAIN
sink_data[21] => src20_data[21].DATAIN
sink_data[22] => src21_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src2_data[22].DATAIN
sink_data[22] => src3_data[22].DATAIN
sink_data[22] => src4_data[22].DATAIN
sink_data[22] => src5_data[22].DATAIN
sink_data[22] => src6_data[22].DATAIN
sink_data[22] => src7_data[22].DATAIN
sink_data[22] => src8_data[22].DATAIN
sink_data[22] => src9_data[22].DATAIN
sink_data[22] => src10_data[22].DATAIN
sink_data[22] => src11_data[22].DATAIN
sink_data[22] => src12_data[22].DATAIN
sink_data[22] => src13_data[22].DATAIN
sink_data[22] => src14_data[22].DATAIN
sink_data[22] => src15_data[22].DATAIN
sink_data[22] => src16_data[22].DATAIN
sink_data[22] => src17_data[22].DATAIN
sink_data[22] => src18_data[22].DATAIN
sink_data[22] => src19_data[22].DATAIN
sink_data[22] => src20_data[22].DATAIN
sink_data[23] => src21_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src2_data[23].DATAIN
sink_data[23] => src3_data[23].DATAIN
sink_data[23] => src4_data[23].DATAIN
sink_data[23] => src5_data[23].DATAIN
sink_data[23] => src6_data[23].DATAIN
sink_data[23] => src7_data[23].DATAIN
sink_data[23] => src8_data[23].DATAIN
sink_data[23] => src9_data[23].DATAIN
sink_data[23] => src10_data[23].DATAIN
sink_data[23] => src11_data[23].DATAIN
sink_data[23] => src12_data[23].DATAIN
sink_data[23] => src13_data[23].DATAIN
sink_data[23] => src14_data[23].DATAIN
sink_data[23] => src15_data[23].DATAIN
sink_data[23] => src16_data[23].DATAIN
sink_data[23] => src17_data[23].DATAIN
sink_data[23] => src18_data[23].DATAIN
sink_data[23] => src19_data[23].DATAIN
sink_data[23] => src20_data[23].DATAIN
sink_data[24] => src21_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src2_data[24].DATAIN
sink_data[24] => src3_data[24].DATAIN
sink_data[24] => src4_data[24].DATAIN
sink_data[24] => src5_data[24].DATAIN
sink_data[24] => src6_data[24].DATAIN
sink_data[24] => src7_data[24].DATAIN
sink_data[24] => src8_data[24].DATAIN
sink_data[24] => src9_data[24].DATAIN
sink_data[24] => src10_data[24].DATAIN
sink_data[24] => src11_data[24].DATAIN
sink_data[24] => src12_data[24].DATAIN
sink_data[24] => src13_data[24].DATAIN
sink_data[24] => src14_data[24].DATAIN
sink_data[24] => src15_data[24].DATAIN
sink_data[24] => src16_data[24].DATAIN
sink_data[24] => src17_data[24].DATAIN
sink_data[24] => src18_data[24].DATAIN
sink_data[24] => src19_data[24].DATAIN
sink_data[24] => src20_data[24].DATAIN
sink_data[25] => src21_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src2_data[25].DATAIN
sink_data[25] => src3_data[25].DATAIN
sink_data[25] => src4_data[25].DATAIN
sink_data[25] => src5_data[25].DATAIN
sink_data[25] => src6_data[25].DATAIN
sink_data[25] => src7_data[25].DATAIN
sink_data[25] => src8_data[25].DATAIN
sink_data[25] => src9_data[25].DATAIN
sink_data[25] => src10_data[25].DATAIN
sink_data[25] => src11_data[25].DATAIN
sink_data[25] => src12_data[25].DATAIN
sink_data[25] => src13_data[25].DATAIN
sink_data[25] => src14_data[25].DATAIN
sink_data[25] => src15_data[25].DATAIN
sink_data[25] => src16_data[25].DATAIN
sink_data[25] => src17_data[25].DATAIN
sink_data[25] => src18_data[25].DATAIN
sink_data[25] => src19_data[25].DATAIN
sink_data[25] => src20_data[25].DATAIN
sink_data[26] => src21_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src2_data[26].DATAIN
sink_data[26] => src3_data[26].DATAIN
sink_data[26] => src4_data[26].DATAIN
sink_data[26] => src5_data[26].DATAIN
sink_data[26] => src6_data[26].DATAIN
sink_data[26] => src7_data[26].DATAIN
sink_data[26] => src8_data[26].DATAIN
sink_data[26] => src9_data[26].DATAIN
sink_data[26] => src10_data[26].DATAIN
sink_data[26] => src11_data[26].DATAIN
sink_data[26] => src12_data[26].DATAIN
sink_data[26] => src13_data[26].DATAIN
sink_data[26] => src14_data[26].DATAIN
sink_data[26] => src15_data[26].DATAIN
sink_data[26] => src16_data[26].DATAIN
sink_data[26] => src17_data[26].DATAIN
sink_data[26] => src18_data[26].DATAIN
sink_data[26] => src19_data[26].DATAIN
sink_data[26] => src20_data[26].DATAIN
sink_data[27] => src21_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src2_data[27].DATAIN
sink_data[27] => src3_data[27].DATAIN
sink_data[27] => src4_data[27].DATAIN
sink_data[27] => src5_data[27].DATAIN
sink_data[27] => src6_data[27].DATAIN
sink_data[27] => src7_data[27].DATAIN
sink_data[27] => src8_data[27].DATAIN
sink_data[27] => src9_data[27].DATAIN
sink_data[27] => src10_data[27].DATAIN
sink_data[27] => src11_data[27].DATAIN
sink_data[27] => src12_data[27].DATAIN
sink_data[27] => src13_data[27].DATAIN
sink_data[27] => src14_data[27].DATAIN
sink_data[27] => src15_data[27].DATAIN
sink_data[27] => src16_data[27].DATAIN
sink_data[27] => src17_data[27].DATAIN
sink_data[27] => src18_data[27].DATAIN
sink_data[27] => src19_data[27].DATAIN
sink_data[27] => src20_data[27].DATAIN
sink_data[28] => src21_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src2_data[28].DATAIN
sink_data[28] => src3_data[28].DATAIN
sink_data[28] => src4_data[28].DATAIN
sink_data[28] => src5_data[28].DATAIN
sink_data[28] => src6_data[28].DATAIN
sink_data[28] => src7_data[28].DATAIN
sink_data[28] => src8_data[28].DATAIN
sink_data[28] => src9_data[28].DATAIN
sink_data[28] => src10_data[28].DATAIN
sink_data[28] => src11_data[28].DATAIN
sink_data[28] => src12_data[28].DATAIN
sink_data[28] => src13_data[28].DATAIN
sink_data[28] => src14_data[28].DATAIN
sink_data[28] => src15_data[28].DATAIN
sink_data[28] => src16_data[28].DATAIN
sink_data[28] => src17_data[28].DATAIN
sink_data[28] => src18_data[28].DATAIN
sink_data[28] => src19_data[28].DATAIN
sink_data[28] => src20_data[28].DATAIN
sink_data[29] => src21_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src2_data[29].DATAIN
sink_data[29] => src3_data[29].DATAIN
sink_data[29] => src4_data[29].DATAIN
sink_data[29] => src5_data[29].DATAIN
sink_data[29] => src6_data[29].DATAIN
sink_data[29] => src7_data[29].DATAIN
sink_data[29] => src8_data[29].DATAIN
sink_data[29] => src9_data[29].DATAIN
sink_data[29] => src10_data[29].DATAIN
sink_data[29] => src11_data[29].DATAIN
sink_data[29] => src12_data[29].DATAIN
sink_data[29] => src13_data[29].DATAIN
sink_data[29] => src14_data[29].DATAIN
sink_data[29] => src15_data[29].DATAIN
sink_data[29] => src16_data[29].DATAIN
sink_data[29] => src17_data[29].DATAIN
sink_data[29] => src18_data[29].DATAIN
sink_data[29] => src19_data[29].DATAIN
sink_data[29] => src20_data[29].DATAIN
sink_data[30] => src21_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src2_data[30].DATAIN
sink_data[30] => src3_data[30].DATAIN
sink_data[30] => src4_data[30].DATAIN
sink_data[30] => src5_data[30].DATAIN
sink_data[30] => src6_data[30].DATAIN
sink_data[30] => src7_data[30].DATAIN
sink_data[30] => src8_data[30].DATAIN
sink_data[30] => src9_data[30].DATAIN
sink_data[30] => src10_data[30].DATAIN
sink_data[30] => src11_data[30].DATAIN
sink_data[30] => src12_data[30].DATAIN
sink_data[30] => src13_data[30].DATAIN
sink_data[30] => src14_data[30].DATAIN
sink_data[30] => src15_data[30].DATAIN
sink_data[30] => src16_data[30].DATAIN
sink_data[30] => src17_data[30].DATAIN
sink_data[30] => src18_data[30].DATAIN
sink_data[30] => src19_data[30].DATAIN
sink_data[30] => src20_data[30].DATAIN
sink_data[31] => src21_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src2_data[31].DATAIN
sink_data[31] => src3_data[31].DATAIN
sink_data[31] => src4_data[31].DATAIN
sink_data[31] => src5_data[31].DATAIN
sink_data[31] => src6_data[31].DATAIN
sink_data[31] => src7_data[31].DATAIN
sink_data[31] => src8_data[31].DATAIN
sink_data[31] => src9_data[31].DATAIN
sink_data[31] => src10_data[31].DATAIN
sink_data[31] => src11_data[31].DATAIN
sink_data[31] => src12_data[31].DATAIN
sink_data[31] => src13_data[31].DATAIN
sink_data[31] => src14_data[31].DATAIN
sink_data[31] => src15_data[31].DATAIN
sink_data[31] => src16_data[31].DATAIN
sink_data[31] => src17_data[31].DATAIN
sink_data[31] => src18_data[31].DATAIN
sink_data[31] => src19_data[31].DATAIN
sink_data[31] => src20_data[31].DATAIN
sink_data[32] => src21_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src2_data[32].DATAIN
sink_data[32] => src3_data[32].DATAIN
sink_data[32] => src4_data[32].DATAIN
sink_data[32] => src5_data[32].DATAIN
sink_data[32] => src6_data[32].DATAIN
sink_data[32] => src7_data[32].DATAIN
sink_data[32] => src8_data[32].DATAIN
sink_data[32] => src9_data[32].DATAIN
sink_data[32] => src10_data[32].DATAIN
sink_data[32] => src11_data[32].DATAIN
sink_data[32] => src12_data[32].DATAIN
sink_data[32] => src13_data[32].DATAIN
sink_data[32] => src14_data[32].DATAIN
sink_data[32] => src15_data[32].DATAIN
sink_data[32] => src16_data[32].DATAIN
sink_data[32] => src17_data[32].DATAIN
sink_data[32] => src18_data[32].DATAIN
sink_data[32] => src19_data[32].DATAIN
sink_data[32] => src20_data[32].DATAIN
sink_data[33] => src21_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src2_data[33].DATAIN
sink_data[33] => src3_data[33].DATAIN
sink_data[33] => src4_data[33].DATAIN
sink_data[33] => src5_data[33].DATAIN
sink_data[33] => src6_data[33].DATAIN
sink_data[33] => src7_data[33].DATAIN
sink_data[33] => src8_data[33].DATAIN
sink_data[33] => src9_data[33].DATAIN
sink_data[33] => src10_data[33].DATAIN
sink_data[33] => src11_data[33].DATAIN
sink_data[33] => src12_data[33].DATAIN
sink_data[33] => src13_data[33].DATAIN
sink_data[33] => src14_data[33].DATAIN
sink_data[33] => src15_data[33].DATAIN
sink_data[33] => src16_data[33].DATAIN
sink_data[33] => src17_data[33].DATAIN
sink_data[33] => src18_data[33].DATAIN
sink_data[33] => src19_data[33].DATAIN
sink_data[33] => src20_data[33].DATAIN
sink_data[34] => src21_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src2_data[34].DATAIN
sink_data[34] => src3_data[34].DATAIN
sink_data[34] => src4_data[34].DATAIN
sink_data[34] => src5_data[34].DATAIN
sink_data[34] => src6_data[34].DATAIN
sink_data[34] => src7_data[34].DATAIN
sink_data[34] => src8_data[34].DATAIN
sink_data[34] => src9_data[34].DATAIN
sink_data[34] => src10_data[34].DATAIN
sink_data[34] => src11_data[34].DATAIN
sink_data[34] => src12_data[34].DATAIN
sink_data[34] => src13_data[34].DATAIN
sink_data[34] => src14_data[34].DATAIN
sink_data[34] => src15_data[34].DATAIN
sink_data[34] => src16_data[34].DATAIN
sink_data[34] => src17_data[34].DATAIN
sink_data[34] => src18_data[34].DATAIN
sink_data[34] => src19_data[34].DATAIN
sink_data[34] => src20_data[34].DATAIN
sink_data[35] => src21_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src2_data[35].DATAIN
sink_data[35] => src3_data[35].DATAIN
sink_data[35] => src4_data[35].DATAIN
sink_data[35] => src5_data[35].DATAIN
sink_data[35] => src6_data[35].DATAIN
sink_data[35] => src7_data[35].DATAIN
sink_data[35] => src8_data[35].DATAIN
sink_data[35] => src9_data[35].DATAIN
sink_data[35] => src10_data[35].DATAIN
sink_data[35] => src11_data[35].DATAIN
sink_data[35] => src12_data[35].DATAIN
sink_data[35] => src13_data[35].DATAIN
sink_data[35] => src14_data[35].DATAIN
sink_data[35] => src15_data[35].DATAIN
sink_data[35] => src16_data[35].DATAIN
sink_data[35] => src17_data[35].DATAIN
sink_data[35] => src18_data[35].DATAIN
sink_data[35] => src19_data[35].DATAIN
sink_data[35] => src20_data[35].DATAIN
sink_data[36] => src21_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src2_data[36].DATAIN
sink_data[36] => src3_data[36].DATAIN
sink_data[36] => src4_data[36].DATAIN
sink_data[36] => src5_data[36].DATAIN
sink_data[36] => src6_data[36].DATAIN
sink_data[36] => src7_data[36].DATAIN
sink_data[36] => src8_data[36].DATAIN
sink_data[36] => src9_data[36].DATAIN
sink_data[36] => src10_data[36].DATAIN
sink_data[36] => src11_data[36].DATAIN
sink_data[36] => src12_data[36].DATAIN
sink_data[36] => src13_data[36].DATAIN
sink_data[36] => src14_data[36].DATAIN
sink_data[36] => src15_data[36].DATAIN
sink_data[36] => src16_data[36].DATAIN
sink_data[36] => src17_data[36].DATAIN
sink_data[36] => src18_data[36].DATAIN
sink_data[36] => src19_data[36].DATAIN
sink_data[36] => src20_data[36].DATAIN
sink_data[37] => src21_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src2_data[37].DATAIN
sink_data[37] => src3_data[37].DATAIN
sink_data[37] => src4_data[37].DATAIN
sink_data[37] => src5_data[37].DATAIN
sink_data[37] => src6_data[37].DATAIN
sink_data[37] => src7_data[37].DATAIN
sink_data[37] => src8_data[37].DATAIN
sink_data[37] => src9_data[37].DATAIN
sink_data[37] => src10_data[37].DATAIN
sink_data[37] => src11_data[37].DATAIN
sink_data[37] => src12_data[37].DATAIN
sink_data[37] => src13_data[37].DATAIN
sink_data[37] => src14_data[37].DATAIN
sink_data[37] => src15_data[37].DATAIN
sink_data[37] => src16_data[37].DATAIN
sink_data[37] => src17_data[37].DATAIN
sink_data[37] => src18_data[37].DATAIN
sink_data[37] => src19_data[37].DATAIN
sink_data[37] => src20_data[37].DATAIN
sink_data[38] => src21_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src2_data[38].DATAIN
sink_data[38] => src3_data[38].DATAIN
sink_data[38] => src4_data[38].DATAIN
sink_data[38] => src5_data[38].DATAIN
sink_data[38] => src6_data[38].DATAIN
sink_data[38] => src7_data[38].DATAIN
sink_data[38] => src8_data[38].DATAIN
sink_data[38] => src9_data[38].DATAIN
sink_data[38] => src10_data[38].DATAIN
sink_data[38] => src11_data[38].DATAIN
sink_data[38] => src12_data[38].DATAIN
sink_data[38] => src13_data[38].DATAIN
sink_data[38] => src14_data[38].DATAIN
sink_data[38] => src15_data[38].DATAIN
sink_data[38] => src16_data[38].DATAIN
sink_data[38] => src17_data[38].DATAIN
sink_data[38] => src18_data[38].DATAIN
sink_data[38] => src19_data[38].DATAIN
sink_data[38] => src20_data[38].DATAIN
sink_data[39] => src21_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src2_data[39].DATAIN
sink_data[39] => src3_data[39].DATAIN
sink_data[39] => src4_data[39].DATAIN
sink_data[39] => src5_data[39].DATAIN
sink_data[39] => src6_data[39].DATAIN
sink_data[39] => src7_data[39].DATAIN
sink_data[39] => src8_data[39].DATAIN
sink_data[39] => src9_data[39].DATAIN
sink_data[39] => src10_data[39].DATAIN
sink_data[39] => src11_data[39].DATAIN
sink_data[39] => src12_data[39].DATAIN
sink_data[39] => src13_data[39].DATAIN
sink_data[39] => src14_data[39].DATAIN
sink_data[39] => src15_data[39].DATAIN
sink_data[39] => src16_data[39].DATAIN
sink_data[39] => src17_data[39].DATAIN
sink_data[39] => src18_data[39].DATAIN
sink_data[39] => src19_data[39].DATAIN
sink_data[39] => src20_data[39].DATAIN
sink_data[40] => src21_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src2_data[40].DATAIN
sink_data[40] => src3_data[40].DATAIN
sink_data[40] => src4_data[40].DATAIN
sink_data[40] => src5_data[40].DATAIN
sink_data[40] => src6_data[40].DATAIN
sink_data[40] => src7_data[40].DATAIN
sink_data[40] => src8_data[40].DATAIN
sink_data[40] => src9_data[40].DATAIN
sink_data[40] => src10_data[40].DATAIN
sink_data[40] => src11_data[40].DATAIN
sink_data[40] => src12_data[40].DATAIN
sink_data[40] => src13_data[40].DATAIN
sink_data[40] => src14_data[40].DATAIN
sink_data[40] => src15_data[40].DATAIN
sink_data[40] => src16_data[40].DATAIN
sink_data[40] => src17_data[40].DATAIN
sink_data[40] => src18_data[40].DATAIN
sink_data[40] => src19_data[40].DATAIN
sink_data[40] => src20_data[40].DATAIN
sink_data[41] => src21_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src2_data[41].DATAIN
sink_data[41] => src3_data[41].DATAIN
sink_data[41] => src4_data[41].DATAIN
sink_data[41] => src5_data[41].DATAIN
sink_data[41] => src6_data[41].DATAIN
sink_data[41] => src7_data[41].DATAIN
sink_data[41] => src8_data[41].DATAIN
sink_data[41] => src9_data[41].DATAIN
sink_data[41] => src10_data[41].DATAIN
sink_data[41] => src11_data[41].DATAIN
sink_data[41] => src12_data[41].DATAIN
sink_data[41] => src13_data[41].DATAIN
sink_data[41] => src14_data[41].DATAIN
sink_data[41] => src15_data[41].DATAIN
sink_data[41] => src16_data[41].DATAIN
sink_data[41] => src17_data[41].DATAIN
sink_data[41] => src18_data[41].DATAIN
sink_data[41] => src19_data[41].DATAIN
sink_data[41] => src20_data[41].DATAIN
sink_data[42] => src21_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src2_data[42].DATAIN
sink_data[42] => src3_data[42].DATAIN
sink_data[42] => src4_data[42].DATAIN
sink_data[42] => src5_data[42].DATAIN
sink_data[42] => src6_data[42].DATAIN
sink_data[42] => src7_data[42].DATAIN
sink_data[42] => src8_data[42].DATAIN
sink_data[42] => src9_data[42].DATAIN
sink_data[42] => src10_data[42].DATAIN
sink_data[42] => src11_data[42].DATAIN
sink_data[42] => src12_data[42].DATAIN
sink_data[42] => src13_data[42].DATAIN
sink_data[42] => src14_data[42].DATAIN
sink_data[42] => src15_data[42].DATAIN
sink_data[42] => src16_data[42].DATAIN
sink_data[42] => src17_data[42].DATAIN
sink_data[42] => src18_data[42].DATAIN
sink_data[42] => src19_data[42].DATAIN
sink_data[42] => src20_data[42].DATAIN
sink_data[43] => src21_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src2_data[43].DATAIN
sink_data[43] => src3_data[43].DATAIN
sink_data[43] => src4_data[43].DATAIN
sink_data[43] => src5_data[43].DATAIN
sink_data[43] => src6_data[43].DATAIN
sink_data[43] => src7_data[43].DATAIN
sink_data[43] => src8_data[43].DATAIN
sink_data[43] => src9_data[43].DATAIN
sink_data[43] => src10_data[43].DATAIN
sink_data[43] => src11_data[43].DATAIN
sink_data[43] => src12_data[43].DATAIN
sink_data[43] => src13_data[43].DATAIN
sink_data[43] => src14_data[43].DATAIN
sink_data[43] => src15_data[43].DATAIN
sink_data[43] => src16_data[43].DATAIN
sink_data[43] => src17_data[43].DATAIN
sink_data[43] => src18_data[43].DATAIN
sink_data[43] => src19_data[43].DATAIN
sink_data[43] => src20_data[43].DATAIN
sink_data[44] => src21_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src2_data[44].DATAIN
sink_data[44] => src3_data[44].DATAIN
sink_data[44] => src4_data[44].DATAIN
sink_data[44] => src5_data[44].DATAIN
sink_data[44] => src6_data[44].DATAIN
sink_data[44] => src7_data[44].DATAIN
sink_data[44] => src8_data[44].DATAIN
sink_data[44] => src9_data[44].DATAIN
sink_data[44] => src10_data[44].DATAIN
sink_data[44] => src11_data[44].DATAIN
sink_data[44] => src12_data[44].DATAIN
sink_data[44] => src13_data[44].DATAIN
sink_data[44] => src14_data[44].DATAIN
sink_data[44] => src15_data[44].DATAIN
sink_data[44] => src16_data[44].DATAIN
sink_data[44] => src17_data[44].DATAIN
sink_data[44] => src18_data[44].DATAIN
sink_data[44] => src19_data[44].DATAIN
sink_data[44] => src20_data[44].DATAIN
sink_data[45] => src21_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src2_data[45].DATAIN
sink_data[45] => src3_data[45].DATAIN
sink_data[45] => src4_data[45].DATAIN
sink_data[45] => src5_data[45].DATAIN
sink_data[45] => src6_data[45].DATAIN
sink_data[45] => src7_data[45].DATAIN
sink_data[45] => src8_data[45].DATAIN
sink_data[45] => src9_data[45].DATAIN
sink_data[45] => src10_data[45].DATAIN
sink_data[45] => src11_data[45].DATAIN
sink_data[45] => src12_data[45].DATAIN
sink_data[45] => src13_data[45].DATAIN
sink_data[45] => src14_data[45].DATAIN
sink_data[45] => src15_data[45].DATAIN
sink_data[45] => src16_data[45].DATAIN
sink_data[45] => src17_data[45].DATAIN
sink_data[45] => src18_data[45].DATAIN
sink_data[45] => src19_data[45].DATAIN
sink_data[45] => src20_data[45].DATAIN
sink_data[46] => src21_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src2_data[46].DATAIN
sink_data[46] => src3_data[46].DATAIN
sink_data[46] => src4_data[46].DATAIN
sink_data[46] => src5_data[46].DATAIN
sink_data[46] => src6_data[46].DATAIN
sink_data[46] => src7_data[46].DATAIN
sink_data[46] => src8_data[46].DATAIN
sink_data[46] => src9_data[46].DATAIN
sink_data[46] => src10_data[46].DATAIN
sink_data[46] => src11_data[46].DATAIN
sink_data[46] => src12_data[46].DATAIN
sink_data[46] => src13_data[46].DATAIN
sink_data[46] => src14_data[46].DATAIN
sink_data[46] => src15_data[46].DATAIN
sink_data[46] => src16_data[46].DATAIN
sink_data[46] => src17_data[46].DATAIN
sink_data[46] => src18_data[46].DATAIN
sink_data[46] => src19_data[46].DATAIN
sink_data[46] => src20_data[46].DATAIN
sink_data[47] => src21_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src2_data[47].DATAIN
sink_data[47] => src3_data[47].DATAIN
sink_data[47] => src4_data[47].DATAIN
sink_data[47] => src5_data[47].DATAIN
sink_data[47] => src6_data[47].DATAIN
sink_data[47] => src7_data[47].DATAIN
sink_data[47] => src8_data[47].DATAIN
sink_data[47] => src9_data[47].DATAIN
sink_data[47] => src10_data[47].DATAIN
sink_data[47] => src11_data[47].DATAIN
sink_data[47] => src12_data[47].DATAIN
sink_data[47] => src13_data[47].DATAIN
sink_data[47] => src14_data[47].DATAIN
sink_data[47] => src15_data[47].DATAIN
sink_data[47] => src16_data[47].DATAIN
sink_data[47] => src17_data[47].DATAIN
sink_data[47] => src18_data[47].DATAIN
sink_data[47] => src19_data[47].DATAIN
sink_data[47] => src20_data[47].DATAIN
sink_data[48] => src21_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src2_data[48].DATAIN
sink_data[48] => src3_data[48].DATAIN
sink_data[48] => src4_data[48].DATAIN
sink_data[48] => src5_data[48].DATAIN
sink_data[48] => src6_data[48].DATAIN
sink_data[48] => src7_data[48].DATAIN
sink_data[48] => src8_data[48].DATAIN
sink_data[48] => src9_data[48].DATAIN
sink_data[48] => src10_data[48].DATAIN
sink_data[48] => src11_data[48].DATAIN
sink_data[48] => src12_data[48].DATAIN
sink_data[48] => src13_data[48].DATAIN
sink_data[48] => src14_data[48].DATAIN
sink_data[48] => src15_data[48].DATAIN
sink_data[48] => src16_data[48].DATAIN
sink_data[48] => src17_data[48].DATAIN
sink_data[48] => src18_data[48].DATAIN
sink_data[48] => src19_data[48].DATAIN
sink_data[48] => src20_data[48].DATAIN
sink_data[49] => src21_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src2_data[49].DATAIN
sink_data[49] => src3_data[49].DATAIN
sink_data[49] => src4_data[49].DATAIN
sink_data[49] => src5_data[49].DATAIN
sink_data[49] => src6_data[49].DATAIN
sink_data[49] => src7_data[49].DATAIN
sink_data[49] => src8_data[49].DATAIN
sink_data[49] => src9_data[49].DATAIN
sink_data[49] => src10_data[49].DATAIN
sink_data[49] => src11_data[49].DATAIN
sink_data[49] => src12_data[49].DATAIN
sink_data[49] => src13_data[49].DATAIN
sink_data[49] => src14_data[49].DATAIN
sink_data[49] => src15_data[49].DATAIN
sink_data[49] => src16_data[49].DATAIN
sink_data[49] => src17_data[49].DATAIN
sink_data[49] => src18_data[49].DATAIN
sink_data[49] => src19_data[49].DATAIN
sink_data[49] => src20_data[49].DATAIN
sink_data[50] => src21_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src2_data[50].DATAIN
sink_data[50] => src3_data[50].DATAIN
sink_data[50] => src4_data[50].DATAIN
sink_data[50] => src5_data[50].DATAIN
sink_data[50] => src6_data[50].DATAIN
sink_data[50] => src7_data[50].DATAIN
sink_data[50] => src8_data[50].DATAIN
sink_data[50] => src9_data[50].DATAIN
sink_data[50] => src10_data[50].DATAIN
sink_data[50] => src11_data[50].DATAIN
sink_data[50] => src12_data[50].DATAIN
sink_data[50] => src13_data[50].DATAIN
sink_data[50] => src14_data[50].DATAIN
sink_data[50] => src15_data[50].DATAIN
sink_data[50] => src16_data[50].DATAIN
sink_data[50] => src17_data[50].DATAIN
sink_data[50] => src18_data[50].DATAIN
sink_data[50] => src19_data[50].DATAIN
sink_data[50] => src20_data[50].DATAIN
sink_data[51] => src21_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src2_data[51].DATAIN
sink_data[51] => src3_data[51].DATAIN
sink_data[51] => src4_data[51].DATAIN
sink_data[51] => src5_data[51].DATAIN
sink_data[51] => src6_data[51].DATAIN
sink_data[51] => src7_data[51].DATAIN
sink_data[51] => src8_data[51].DATAIN
sink_data[51] => src9_data[51].DATAIN
sink_data[51] => src10_data[51].DATAIN
sink_data[51] => src11_data[51].DATAIN
sink_data[51] => src12_data[51].DATAIN
sink_data[51] => src13_data[51].DATAIN
sink_data[51] => src14_data[51].DATAIN
sink_data[51] => src15_data[51].DATAIN
sink_data[51] => src16_data[51].DATAIN
sink_data[51] => src17_data[51].DATAIN
sink_data[51] => src18_data[51].DATAIN
sink_data[51] => src19_data[51].DATAIN
sink_data[51] => src20_data[51].DATAIN
sink_data[52] => src21_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src2_data[52].DATAIN
sink_data[52] => src3_data[52].DATAIN
sink_data[52] => src4_data[52].DATAIN
sink_data[52] => src5_data[52].DATAIN
sink_data[52] => src6_data[52].DATAIN
sink_data[52] => src7_data[52].DATAIN
sink_data[52] => src8_data[52].DATAIN
sink_data[52] => src9_data[52].DATAIN
sink_data[52] => src10_data[52].DATAIN
sink_data[52] => src11_data[52].DATAIN
sink_data[52] => src12_data[52].DATAIN
sink_data[52] => src13_data[52].DATAIN
sink_data[52] => src14_data[52].DATAIN
sink_data[52] => src15_data[52].DATAIN
sink_data[52] => src16_data[52].DATAIN
sink_data[52] => src17_data[52].DATAIN
sink_data[52] => src18_data[52].DATAIN
sink_data[52] => src19_data[52].DATAIN
sink_data[52] => src20_data[52].DATAIN
sink_data[53] => src21_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src2_data[53].DATAIN
sink_data[53] => src3_data[53].DATAIN
sink_data[53] => src4_data[53].DATAIN
sink_data[53] => src5_data[53].DATAIN
sink_data[53] => src6_data[53].DATAIN
sink_data[53] => src7_data[53].DATAIN
sink_data[53] => src8_data[53].DATAIN
sink_data[53] => src9_data[53].DATAIN
sink_data[53] => src10_data[53].DATAIN
sink_data[53] => src11_data[53].DATAIN
sink_data[53] => src12_data[53].DATAIN
sink_data[53] => src13_data[53].DATAIN
sink_data[53] => src14_data[53].DATAIN
sink_data[53] => src15_data[53].DATAIN
sink_data[53] => src16_data[53].DATAIN
sink_data[53] => src17_data[53].DATAIN
sink_data[53] => src18_data[53].DATAIN
sink_data[53] => src19_data[53].DATAIN
sink_data[53] => src20_data[53].DATAIN
sink_data[54] => src21_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src2_data[54].DATAIN
sink_data[54] => src3_data[54].DATAIN
sink_data[54] => src4_data[54].DATAIN
sink_data[54] => src5_data[54].DATAIN
sink_data[54] => src6_data[54].DATAIN
sink_data[54] => src7_data[54].DATAIN
sink_data[54] => src8_data[54].DATAIN
sink_data[54] => src9_data[54].DATAIN
sink_data[54] => src10_data[54].DATAIN
sink_data[54] => src11_data[54].DATAIN
sink_data[54] => src12_data[54].DATAIN
sink_data[54] => src13_data[54].DATAIN
sink_data[54] => src14_data[54].DATAIN
sink_data[54] => src15_data[54].DATAIN
sink_data[54] => src16_data[54].DATAIN
sink_data[54] => src17_data[54].DATAIN
sink_data[54] => src18_data[54].DATAIN
sink_data[54] => src19_data[54].DATAIN
sink_data[54] => src20_data[54].DATAIN
sink_data[55] => src21_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src2_data[55].DATAIN
sink_data[55] => src3_data[55].DATAIN
sink_data[55] => src4_data[55].DATAIN
sink_data[55] => src5_data[55].DATAIN
sink_data[55] => src6_data[55].DATAIN
sink_data[55] => src7_data[55].DATAIN
sink_data[55] => src8_data[55].DATAIN
sink_data[55] => src9_data[55].DATAIN
sink_data[55] => src10_data[55].DATAIN
sink_data[55] => src11_data[55].DATAIN
sink_data[55] => src12_data[55].DATAIN
sink_data[55] => src13_data[55].DATAIN
sink_data[55] => src14_data[55].DATAIN
sink_data[55] => src15_data[55].DATAIN
sink_data[55] => src16_data[55].DATAIN
sink_data[55] => src17_data[55].DATAIN
sink_data[55] => src18_data[55].DATAIN
sink_data[55] => src19_data[55].DATAIN
sink_data[55] => src20_data[55].DATAIN
sink_data[56] => src21_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src2_data[56].DATAIN
sink_data[56] => src3_data[56].DATAIN
sink_data[56] => src4_data[56].DATAIN
sink_data[56] => src5_data[56].DATAIN
sink_data[56] => src6_data[56].DATAIN
sink_data[56] => src7_data[56].DATAIN
sink_data[56] => src8_data[56].DATAIN
sink_data[56] => src9_data[56].DATAIN
sink_data[56] => src10_data[56].DATAIN
sink_data[56] => src11_data[56].DATAIN
sink_data[56] => src12_data[56].DATAIN
sink_data[56] => src13_data[56].DATAIN
sink_data[56] => src14_data[56].DATAIN
sink_data[56] => src15_data[56].DATAIN
sink_data[56] => src16_data[56].DATAIN
sink_data[56] => src17_data[56].DATAIN
sink_data[56] => src18_data[56].DATAIN
sink_data[56] => src19_data[56].DATAIN
sink_data[56] => src20_data[56].DATAIN
sink_data[57] => src21_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src2_data[57].DATAIN
sink_data[57] => src3_data[57].DATAIN
sink_data[57] => src4_data[57].DATAIN
sink_data[57] => src5_data[57].DATAIN
sink_data[57] => src6_data[57].DATAIN
sink_data[57] => src7_data[57].DATAIN
sink_data[57] => src8_data[57].DATAIN
sink_data[57] => src9_data[57].DATAIN
sink_data[57] => src10_data[57].DATAIN
sink_data[57] => src11_data[57].DATAIN
sink_data[57] => src12_data[57].DATAIN
sink_data[57] => src13_data[57].DATAIN
sink_data[57] => src14_data[57].DATAIN
sink_data[57] => src15_data[57].DATAIN
sink_data[57] => src16_data[57].DATAIN
sink_data[57] => src17_data[57].DATAIN
sink_data[57] => src18_data[57].DATAIN
sink_data[57] => src19_data[57].DATAIN
sink_data[57] => src20_data[57].DATAIN
sink_data[58] => src21_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src2_data[58].DATAIN
sink_data[58] => src3_data[58].DATAIN
sink_data[58] => src4_data[58].DATAIN
sink_data[58] => src5_data[58].DATAIN
sink_data[58] => src6_data[58].DATAIN
sink_data[58] => src7_data[58].DATAIN
sink_data[58] => src8_data[58].DATAIN
sink_data[58] => src9_data[58].DATAIN
sink_data[58] => src10_data[58].DATAIN
sink_data[58] => src11_data[58].DATAIN
sink_data[58] => src12_data[58].DATAIN
sink_data[58] => src13_data[58].DATAIN
sink_data[58] => src14_data[58].DATAIN
sink_data[58] => src15_data[58].DATAIN
sink_data[58] => src16_data[58].DATAIN
sink_data[58] => src17_data[58].DATAIN
sink_data[58] => src18_data[58].DATAIN
sink_data[58] => src19_data[58].DATAIN
sink_data[58] => src20_data[58].DATAIN
sink_data[59] => src21_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src2_data[59].DATAIN
sink_data[59] => src3_data[59].DATAIN
sink_data[59] => src4_data[59].DATAIN
sink_data[59] => src5_data[59].DATAIN
sink_data[59] => src6_data[59].DATAIN
sink_data[59] => src7_data[59].DATAIN
sink_data[59] => src8_data[59].DATAIN
sink_data[59] => src9_data[59].DATAIN
sink_data[59] => src10_data[59].DATAIN
sink_data[59] => src11_data[59].DATAIN
sink_data[59] => src12_data[59].DATAIN
sink_data[59] => src13_data[59].DATAIN
sink_data[59] => src14_data[59].DATAIN
sink_data[59] => src15_data[59].DATAIN
sink_data[59] => src16_data[59].DATAIN
sink_data[59] => src17_data[59].DATAIN
sink_data[59] => src18_data[59].DATAIN
sink_data[59] => src19_data[59].DATAIN
sink_data[59] => src20_data[59].DATAIN
sink_data[60] => src21_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src2_data[60].DATAIN
sink_data[60] => src3_data[60].DATAIN
sink_data[60] => src4_data[60].DATAIN
sink_data[60] => src5_data[60].DATAIN
sink_data[60] => src6_data[60].DATAIN
sink_data[60] => src7_data[60].DATAIN
sink_data[60] => src8_data[60].DATAIN
sink_data[60] => src9_data[60].DATAIN
sink_data[60] => src10_data[60].DATAIN
sink_data[60] => src11_data[60].DATAIN
sink_data[60] => src12_data[60].DATAIN
sink_data[60] => src13_data[60].DATAIN
sink_data[60] => src14_data[60].DATAIN
sink_data[60] => src15_data[60].DATAIN
sink_data[60] => src16_data[60].DATAIN
sink_data[60] => src17_data[60].DATAIN
sink_data[60] => src18_data[60].DATAIN
sink_data[60] => src19_data[60].DATAIN
sink_data[60] => src20_data[60].DATAIN
sink_data[61] => src21_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src2_data[61].DATAIN
sink_data[61] => src3_data[61].DATAIN
sink_data[61] => src4_data[61].DATAIN
sink_data[61] => src5_data[61].DATAIN
sink_data[61] => src6_data[61].DATAIN
sink_data[61] => src7_data[61].DATAIN
sink_data[61] => src8_data[61].DATAIN
sink_data[61] => src9_data[61].DATAIN
sink_data[61] => src10_data[61].DATAIN
sink_data[61] => src11_data[61].DATAIN
sink_data[61] => src12_data[61].DATAIN
sink_data[61] => src13_data[61].DATAIN
sink_data[61] => src14_data[61].DATAIN
sink_data[61] => src15_data[61].DATAIN
sink_data[61] => src16_data[61].DATAIN
sink_data[61] => src17_data[61].DATAIN
sink_data[61] => src18_data[61].DATAIN
sink_data[61] => src19_data[61].DATAIN
sink_data[61] => src20_data[61].DATAIN
sink_data[62] => src21_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src2_data[62].DATAIN
sink_data[62] => src3_data[62].DATAIN
sink_data[62] => src4_data[62].DATAIN
sink_data[62] => src5_data[62].DATAIN
sink_data[62] => src6_data[62].DATAIN
sink_data[62] => src7_data[62].DATAIN
sink_data[62] => src8_data[62].DATAIN
sink_data[62] => src9_data[62].DATAIN
sink_data[62] => src10_data[62].DATAIN
sink_data[62] => src11_data[62].DATAIN
sink_data[62] => src12_data[62].DATAIN
sink_data[62] => src13_data[62].DATAIN
sink_data[62] => src14_data[62].DATAIN
sink_data[62] => src15_data[62].DATAIN
sink_data[62] => src16_data[62].DATAIN
sink_data[62] => src17_data[62].DATAIN
sink_data[62] => src18_data[62].DATAIN
sink_data[62] => src19_data[62].DATAIN
sink_data[62] => src20_data[62].DATAIN
sink_data[63] => src21_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src2_data[63].DATAIN
sink_data[63] => src3_data[63].DATAIN
sink_data[63] => src4_data[63].DATAIN
sink_data[63] => src5_data[63].DATAIN
sink_data[63] => src6_data[63].DATAIN
sink_data[63] => src7_data[63].DATAIN
sink_data[63] => src8_data[63].DATAIN
sink_data[63] => src9_data[63].DATAIN
sink_data[63] => src10_data[63].DATAIN
sink_data[63] => src11_data[63].DATAIN
sink_data[63] => src12_data[63].DATAIN
sink_data[63] => src13_data[63].DATAIN
sink_data[63] => src14_data[63].DATAIN
sink_data[63] => src15_data[63].DATAIN
sink_data[63] => src16_data[63].DATAIN
sink_data[63] => src17_data[63].DATAIN
sink_data[63] => src18_data[63].DATAIN
sink_data[63] => src19_data[63].DATAIN
sink_data[63] => src20_data[63].DATAIN
sink_data[64] => src21_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src2_data[64].DATAIN
sink_data[64] => src3_data[64].DATAIN
sink_data[64] => src4_data[64].DATAIN
sink_data[64] => src5_data[64].DATAIN
sink_data[64] => src6_data[64].DATAIN
sink_data[64] => src7_data[64].DATAIN
sink_data[64] => src8_data[64].DATAIN
sink_data[64] => src9_data[64].DATAIN
sink_data[64] => src10_data[64].DATAIN
sink_data[64] => src11_data[64].DATAIN
sink_data[64] => src12_data[64].DATAIN
sink_data[64] => src13_data[64].DATAIN
sink_data[64] => src14_data[64].DATAIN
sink_data[64] => src15_data[64].DATAIN
sink_data[64] => src16_data[64].DATAIN
sink_data[64] => src17_data[64].DATAIN
sink_data[64] => src18_data[64].DATAIN
sink_data[64] => src19_data[64].DATAIN
sink_data[64] => src20_data[64].DATAIN
sink_data[65] => src21_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src2_data[65].DATAIN
sink_data[65] => src3_data[65].DATAIN
sink_data[65] => src4_data[65].DATAIN
sink_data[65] => src5_data[65].DATAIN
sink_data[65] => src6_data[65].DATAIN
sink_data[65] => src7_data[65].DATAIN
sink_data[65] => src8_data[65].DATAIN
sink_data[65] => src9_data[65].DATAIN
sink_data[65] => src10_data[65].DATAIN
sink_data[65] => src11_data[65].DATAIN
sink_data[65] => src12_data[65].DATAIN
sink_data[65] => src13_data[65].DATAIN
sink_data[65] => src14_data[65].DATAIN
sink_data[65] => src15_data[65].DATAIN
sink_data[65] => src16_data[65].DATAIN
sink_data[65] => src17_data[65].DATAIN
sink_data[65] => src18_data[65].DATAIN
sink_data[65] => src19_data[65].DATAIN
sink_data[65] => src20_data[65].DATAIN
sink_data[66] => src21_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src2_data[66].DATAIN
sink_data[66] => src3_data[66].DATAIN
sink_data[66] => src4_data[66].DATAIN
sink_data[66] => src5_data[66].DATAIN
sink_data[66] => src6_data[66].DATAIN
sink_data[66] => src7_data[66].DATAIN
sink_data[66] => src8_data[66].DATAIN
sink_data[66] => src9_data[66].DATAIN
sink_data[66] => src10_data[66].DATAIN
sink_data[66] => src11_data[66].DATAIN
sink_data[66] => src12_data[66].DATAIN
sink_data[66] => src13_data[66].DATAIN
sink_data[66] => src14_data[66].DATAIN
sink_data[66] => src15_data[66].DATAIN
sink_data[66] => src16_data[66].DATAIN
sink_data[66] => src17_data[66].DATAIN
sink_data[66] => src18_data[66].DATAIN
sink_data[66] => src19_data[66].DATAIN
sink_data[66] => src20_data[66].DATAIN
sink_data[67] => src21_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src2_data[67].DATAIN
sink_data[67] => src3_data[67].DATAIN
sink_data[67] => src4_data[67].DATAIN
sink_data[67] => src5_data[67].DATAIN
sink_data[67] => src6_data[67].DATAIN
sink_data[67] => src7_data[67].DATAIN
sink_data[67] => src8_data[67].DATAIN
sink_data[67] => src9_data[67].DATAIN
sink_data[67] => src10_data[67].DATAIN
sink_data[67] => src11_data[67].DATAIN
sink_data[67] => src12_data[67].DATAIN
sink_data[67] => src13_data[67].DATAIN
sink_data[67] => src14_data[67].DATAIN
sink_data[67] => src15_data[67].DATAIN
sink_data[67] => src16_data[67].DATAIN
sink_data[67] => src17_data[67].DATAIN
sink_data[67] => src18_data[67].DATAIN
sink_data[67] => src19_data[67].DATAIN
sink_data[67] => src20_data[67].DATAIN
sink_data[68] => src21_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src2_data[68].DATAIN
sink_data[68] => src3_data[68].DATAIN
sink_data[68] => src4_data[68].DATAIN
sink_data[68] => src5_data[68].DATAIN
sink_data[68] => src6_data[68].DATAIN
sink_data[68] => src7_data[68].DATAIN
sink_data[68] => src8_data[68].DATAIN
sink_data[68] => src9_data[68].DATAIN
sink_data[68] => src10_data[68].DATAIN
sink_data[68] => src11_data[68].DATAIN
sink_data[68] => src12_data[68].DATAIN
sink_data[68] => src13_data[68].DATAIN
sink_data[68] => src14_data[68].DATAIN
sink_data[68] => src15_data[68].DATAIN
sink_data[68] => src16_data[68].DATAIN
sink_data[68] => src17_data[68].DATAIN
sink_data[68] => src18_data[68].DATAIN
sink_data[68] => src19_data[68].DATAIN
sink_data[68] => src20_data[68].DATAIN
sink_data[69] => src21_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src2_data[69].DATAIN
sink_data[69] => src3_data[69].DATAIN
sink_data[69] => src4_data[69].DATAIN
sink_data[69] => src5_data[69].DATAIN
sink_data[69] => src6_data[69].DATAIN
sink_data[69] => src7_data[69].DATAIN
sink_data[69] => src8_data[69].DATAIN
sink_data[69] => src9_data[69].DATAIN
sink_data[69] => src10_data[69].DATAIN
sink_data[69] => src11_data[69].DATAIN
sink_data[69] => src12_data[69].DATAIN
sink_data[69] => src13_data[69].DATAIN
sink_data[69] => src14_data[69].DATAIN
sink_data[69] => src15_data[69].DATAIN
sink_data[69] => src16_data[69].DATAIN
sink_data[69] => src17_data[69].DATAIN
sink_data[69] => src18_data[69].DATAIN
sink_data[69] => src19_data[69].DATAIN
sink_data[69] => src20_data[69].DATAIN
sink_data[70] => src21_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src2_data[70].DATAIN
sink_data[70] => src3_data[70].DATAIN
sink_data[70] => src4_data[70].DATAIN
sink_data[70] => src5_data[70].DATAIN
sink_data[70] => src6_data[70].DATAIN
sink_data[70] => src7_data[70].DATAIN
sink_data[70] => src8_data[70].DATAIN
sink_data[70] => src9_data[70].DATAIN
sink_data[70] => src10_data[70].DATAIN
sink_data[70] => src11_data[70].DATAIN
sink_data[70] => src12_data[70].DATAIN
sink_data[70] => src13_data[70].DATAIN
sink_data[70] => src14_data[70].DATAIN
sink_data[70] => src15_data[70].DATAIN
sink_data[70] => src16_data[70].DATAIN
sink_data[70] => src17_data[70].DATAIN
sink_data[70] => src18_data[70].DATAIN
sink_data[70] => src19_data[70].DATAIN
sink_data[70] => src20_data[70].DATAIN
sink_data[71] => src21_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src2_data[71].DATAIN
sink_data[71] => src3_data[71].DATAIN
sink_data[71] => src4_data[71].DATAIN
sink_data[71] => src5_data[71].DATAIN
sink_data[71] => src6_data[71].DATAIN
sink_data[71] => src7_data[71].DATAIN
sink_data[71] => src8_data[71].DATAIN
sink_data[71] => src9_data[71].DATAIN
sink_data[71] => src10_data[71].DATAIN
sink_data[71] => src11_data[71].DATAIN
sink_data[71] => src12_data[71].DATAIN
sink_data[71] => src13_data[71].DATAIN
sink_data[71] => src14_data[71].DATAIN
sink_data[71] => src15_data[71].DATAIN
sink_data[71] => src16_data[71].DATAIN
sink_data[71] => src17_data[71].DATAIN
sink_data[71] => src18_data[71].DATAIN
sink_data[71] => src19_data[71].DATAIN
sink_data[71] => src20_data[71].DATAIN
sink_data[72] => src21_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src2_data[72].DATAIN
sink_data[72] => src3_data[72].DATAIN
sink_data[72] => src4_data[72].DATAIN
sink_data[72] => src5_data[72].DATAIN
sink_data[72] => src6_data[72].DATAIN
sink_data[72] => src7_data[72].DATAIN
sink_data[72] => src8_data[72].DATAIN
sink_data[72] => src9_data[72].DATAIN
sink_data[72] => src10_data[72].DATAIN
sink_data[72] => src11_data[72].DATAIN
sink_data[72] => src12_data[72].DATAIN
sink_data[72] => src13_data[72].DATAIN
sink_data[72] => src14_data[72].DATAIN
sink_data[72] => src15_data[72].DATAIN
sink_data[72] => src16_data[72].DATAIN
sink_data[72] => src17_data[72].DATAIN
sink_data[72] => src18_data[72].DATAIN
sink_data[72] => src19_data[72].DATAIN
sink_data[72] => src20_data[72].DATAIN
sink_data[73] => src21_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src2_data[73].DATAIN
sink_data[73] => src3_data[73].DATAIN
sink_data[73] => src4_data[73].DATAIN
sink_data[73] => src5_data[73].DATAIN
sink_data[73] => src6_data[73].DATAIN
sink_data[73] => src7_data[73].DATAIN
sink_data[73] => src8_data[73].DATAIN
sink_data[73] => src9_data[73].DATAIN
sink_data[73] => src10_data[73].DATAIN
sink_data[73] => src11_data[73].DATAIN
sink_data[73] => src12_data[73].DATAIN
sink_data[73] => src13_data[73].DATAIN
sink_data[73] => src14_data[73].DATAIN
sink_data[73] => src15_data[73].DATAIN
sink_data[73] => src16_data[73].DATAIN
sink_data[73] => src17_data[73].DATAIN
sink_data[73] => src18_data[73].DATAIN
sink_data[73] => src19_data[73].DATAIN
sink_data[73] => src20_data[73].DATAIN
sink_data[74] => src21_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src2_data[74].DATAIN
sink_data[74] => src3_data[74].DATAIN
sink_data[74] => src4_data[74].DATAIN
sink_data[74] => src5_data[74].DATAIN
sink_data[74] => src6_data[74].DATAIN
sink_data[74] => src7_data[74].DATAIN
sink_data[74] => src8_data[74].DATAIN
sink_data[74] => src9_data[74].DATAIN
sink_data[74] => src10_data[74].DATAIN
sink_data[74] => src11_data[74].DATAIN
sink_data[74] => src12_data[74].DATAIN
sink_data[74] => src13_data[74].DATAIN
sink_data[74] => src14_data[74].DATAIN
sink_data[74] => src15_data[74].DATAIN
sink_data[74] => src16_data[74].DATAIN
sink_data[74] => src17_data[74].DATAIN
sink_data[74] => src18_data[74].DATAIN
sink_data[74] => src19_data[74].DATAIN
sink_data[74] => src20_data[74].DATAIN
sink_data[75] => src21_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src2_data[75].DATAIN
sink_data[75] => src3_data[75].DATAIN
sink_data[75] => src4_data[75].DATAIN
sink_data[75] => src5_data[75].DATAIN
sink_data[75] => src6_data[75].DATAIN
sink_data[75] => src7_data[75].DATAIN
sink_data[75] => src8_data[75].DATAIN
sink_data[75] => src9_data[75].DATAIN
sink_data[75] => src10_data[75].DATAIN
sink_data[75] => src11_data[75].DATAIN
sink_data[75] => src12_data[75].DATAIN
sink_data[75] => src13_data[75].DATAIN
sink_data[75] => src14_data[75].DATAIN
sink_data[75] => src15_data[75].DATAIN
sink_data[75] => src16_data[75].DATAIN
sink_data[75] => src17_data[75].DATAIN
sink_data[75] => src18_data[75].DATAIN
sink_data[75] => src19_data[75].DATAIN
sink_data[75] => src20_data[75].DATAIN
sink_data[76] => src21_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src2_data[76].DATAIN
sink_data[76] => src3_data[76].DATAIN
sink_data[76] => src4_data[76].DATAIN
sink_data[76] => src5_data[76].DATAIN
sink_data[76] => src6_data[76].DATAIN
sink_data[76] => src7_data[76].DATAIN
sink_data[76] => src8_data[76].DATAIN
sink_data[76] => src9_data[76].DATAIN
sink_data[76] => src10_data[76].DATAIN
sink_data[76] => src11_data[76].DATAIN
sink_data[76] => src12_data[76].DATAIN
sink_data[76] => src13_data[76].DATAIN
sink_data[76] => src14_data[76].DATAIN
sink_data[76] => src15_data[76].DATAIN
sink_data[76] => src16_data[76].DATAIN
sink_data[76] => src17_data[76].DATAIN
sink_data[76] => src18_data[76].DATAIN
sink_data[76] => src19_data[76].DATAIN
sink_data[76] => src20_data[76].DATAIN
sink_data[77] => src21_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src2_data[77].DATAIN
sink_data[77] => src3_data[77].DATAIN
sink_data[77] => src4_data[77].DATAIN
sink_data[77] => src5_data[77].DATAIN
sink_data[77] => src6_data[77].DATAIN
sink_data[77] => src7_data[77].DATAIN
sink_data[77] => src8_data[77].DATAIN
sink_data[77] => src9_data[77].DATAIN
sink_data[77] => src10_data[77].DATAIN
sink_data[77] => src11_data[77].DATAIN
sink_data[77] => src12_data[77].DATAIN
sink_data[77] => src13_data[77].DATAIN
sink_data[77] => src14_data[77].DATAIN
sink_data[77] => src15_data[77].DATAIN
sink_data[77] => src16_data[77].DATAIN
sink_data[77] => src17_data[77].DATAIN
sink_data[77] => src18_data[77].DATAIN
sink_data[77] => src19_data[77].DATAIN
sink_data[77] => src20_data[77].DATAIN
sink_data[78] => src21_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src2_data[78].DATAIN
sink_data[78] => src3_data[78].DATAIN
sink_data[78] => src4_data[78].DATAIN
sink_data[78] => src5_data[78].DATAIN
sink_data[78] => src6_data[78].DATAIN
sink_data[78] => src7_data[78].DATAIN
sink_data[78] => src8_data[78].DATAIN
sink_data[78] => src9_data[78].DATAIN
sink_data[78] => src10_data[78].DATAIN
sink_data[78] => src11_data[78].DATAIN
sink_data[78] => src12_data[78].DATAIN
sink_data[78] => src13_data[78].DATAIN
sink_data[78] => src14_data[78].DATAIN
sink_data[78] => src15_data[78].DATAIN
sink_data[78] => src16_data[78].DATAIN
sink_data[78] => src17_data[78].DATAIN
sink_data[78] => src18_data[78].DATAIN
sink_data[78] => src19_data[78].DATAIN
sink_data[78] => src20_data[78].DATAIN
sink_data[79] => src21_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src2_data[79].DATAIN
sink_data[79] => src3_data[79].DATAIN
sink_data[79] => src4_data[79].DATAIN
sink_data[79] => src5_data[79].DATAIN
sink_data[79] => src6_data[79].DATAIN
sink_data[79] => src7_data[79].DATAIN
sink_data[79] => src8_data[79].DATAIN
sink_data[79] => src9_data[79].DATAIN
sink_data[79] => src10_data[79].DATAIN
sink_data[79] => src11_data[79].DATAIN
sink_data[79] => src12_data[79].DATAIN
sink_data[79] => src13_data[79].DATAIN
sink_data[79] => src14_data[79].DATAIN
sink_data[79] => src15_data[79].DATAIN
sink_data[79] => src16_data[79].DATAIN
sink_data[79] => src17_data[79].DATAIN
sink_data[79] => src18_data[79].DATAIN
sink_data[79] => src19_data[79].DATAIN
sink_data[79] => src20_data[79].DATAIN
sink_data[80] => src21_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src2_data[80].DATAIN
sink_data[80] => src3_data[80].DATAIN
sink_data[80] => src4_data[80].DATAIN
sink_data[80] => src5_data[80].DATAIN
sink_data[80] => src6_data[80].DATAIN
sink_data[80] => src7_data[80].DATAIN
sink_data[80] => src8_data[80].DATAIN
sink_data[80] => src9_data[80].DATAIN
sink_data[80] => src10_data[80].DATAIN
sink_data[80] => src11_data[80].DATAIN
sink_data[80] => src12_data[80].DATAIN
sink_data[80] => src13_data[80].DATAIN
sink_data[80] => src14_data[80].DATAIN
sink_data[80] => src15_data[80].DATAIN
sink_data[80] => src16_data[80].DATAIN
sink_data[80] => src17_data[80].DATAIN
sink_data[80] => src18_data[80].DATAIN
sink_data[80] => src19_data[80].DATAIN
sink_data[80] => src20_data[80].DATAIN
sink_data[81] => src21_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src2_data[81].DATAIN
sink_data[81] => src3_data[81].DATAIN
sink_data[81] => src4_data[81].DATAIN
sink_data[81] => src5_data[81].DATAIN
sink_data[81] => src6_data[81].DATAIN
sink_data[81] => src7_data[81].DATAIN
sink_data[81] => src8_data[81].DATAIN
sink_data[81] => src9_data[81].DATAIN
sink_data[81] => src10_data[81].DATAIN
sink_data[81] => src11_data[81].DATAIN
sink_data[81] => src12_data[81].DATAIN
sink_data[81] => src13_data[81].DATAIN
sink_data[81] => src14_data[81].DATAIN
sink_data[81] => src15_data[81].DATAIN
sink_data[81] => src16_data[81].DATAIN
sink_data[81] => src17_data[81].DATAIN
sink_data[81] => src18_data[81].DATAIN
sink_data[81] => src19_data[81].DATAIN
sink_data[81] => src20_data[81].DATAIN
sink_data[82] => src21_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src2_data[82].DATAIN
sink_data[82] => src3_data[82].DATAIN
sink_data[82] => src4_data[82].DATAIN
sink_data[82] => src5_data[82].DATAIN
sink_data[82] => src6_data[82].DATAIN
sink_data[82] => src7_data[82].DATAIN
sink_data[82] => src8_data[82].DATAIN
sink_data[82] => src9_data[82].DATAIN
sink_data[82] => src10_data[82].DATAIN
sink_data[82] => src11_data[82].DATAIN
sink_data[82] => src12_data[82].DATAIN
sink_data[82] => src13_data[82].DATAIN
sink_data[82] => src14_data[82].DATAIN
sink_data[82] => src15_data[82].DATAIN
sink_data[82] => src16_data[82].DATAIN
sink_data[82] => src17_data[82].DATAIN
sink_data[82] => src18_data[82].DATAIN
sink_data[82] => src19_data[82].DATAIN
sink_data[82] => src20_data[82].DATAIN
sink_data[83] => src21_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src2_data[83].DATAIN
sink_data[83] => src3_data[83].DATAIN
sink_data[83] => src4_data[83].DATAIN
sink_data[83] => src5_data[83].DATAIN
sink_data[83] => src6_data[83].DATAIN
sink_data[83] => src7_data[83].DATAIN
sink_data[83] => src8_data[83].DATAIN
sink_data[83] => src9_data[83].DATAIN
sink_data[83] => src10_data[83].DATAIN
sink_data[83] => src11_data[83].DATAIN
sink_data[83] => src12_data[83].DATAIN
sink_data[83] => src13_data[83].DATAIN
sink_data[83] => src14_data[83].DATAIN
sink_data[83] => src15_data[83].DATAIN
sink_data[83] => src16_data[83].DATAIN
sink_data[83] => src17_data[83].DATAIN
sink_data[83] => src18_data[83].DATAIN
sink_data[83] => src19_data[83].DATAIN
sink_data[83] => src20_data[83].DATAIN
sink_data[84] => src21_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src2_data[84].DATAIN
sink_data[84] => src3_data[84].DATAIN
sink_data[84] => src4_data[84].DATAIN
sink_data[84] => src5_data[84].DATAIN
sink_data[84] => src6_data[84].DATAIN
sink_data[84] => src7_data[84].DATAIN
sink_data[84] => src8_data[84].DATAIN
sink_data[84] => src9_data[84].DATAIN
sink_data[84] => src10_data[84].DATAIN
sink_data[84] => src11_data[84].DATAIN
sink_data[84] => src12_data[84].DATAIN
sink_data[84] => src13_data[84].DATAIN
sink_data[84] => src14_data[84].DATAIN
sink_data[84] => src15_data[84].DATAIN
sink_data[84] => src16_data[84].DATAIN
sink_data[84] => src17_data[84].DATAIN
sink_data[84] => src18_data[84].DATAIN
sink_data[84] => src19_data[84].DATAIN
sink_data[84] => src20_data[84].DATAIN
sink_data[85] => src21_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src2_data[85].DATAIN
sink_data[85] => src3_data[85].DATAIN
sink_data[85] => src4_data[85].DATAIN
sink_data[85] => src5_data[85].DATAIN
sink_data[85] => src6_data[85].DATAIN
sink_data[85] => src7_data[85].DATAIN
sink_data[85] => src8_data[85].DATAIN
sink_data[85] => src9_data[85].DATAIN
sink_data[85] => src10_data[85].DATAIN
sink_data[85] => src11_data[85].DATAIN
sink_data[85] => src12_data[85].DATAIN
sink_data[85] => src13_data[85].DATAIN
sink_data[85] => src14_data[85].DATAIN
sink_data[85] => src15_data[85].DATAIN
sink_data[85] => src16_data[85].DATAIN
sink_data[85] => src17_data[85].DATAIN
sink_data[85] => src18_data[85].DATAIN
sink_data[85] => src19_data[85].DATAIN
sink_data[85] => src20_data[85].DATAIN
sink_data[86] => src21_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src2_data[86].DATAIN
sink_data[86] => src3_data[86].DATAIN
sink_data[86] => src4_data[86].DATAIN
sink_data[86] => src5_data[86].DATAIN
sink_data[86] => src6_data[86].DATAIN
sink_data[86] => src7_data[86].DATAIN
sink_data[86] => src8_data[86].DATAIN
sink_data[86] => src9_data[86].DATAIN
sink_data[86] => src10_data[86].DATAIN
sink_data[86] => src11_data[86].DATAIN
sink_data[86] => src12_data[86].DATAIN
sink_data[86] => src13_data[86].DATAIN
sink_data[86] => src14_data[86].DATAIN
sink_data[86] => src15_data[86].DATAIN
sink_data[86] => src16_data[86].DATAIN
sink_data[86] => src17_data[86].DATAIN
sink_data[86] => src18_data[86].DATAIN
sink_data[86] => src19_data[86].DATAIN
sink_data[86] => src20_data[86].DATAIN
sink_data[87] => src21_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src2_data[87].DATAIN
sink_data[87] => src3_data[87].DATAIN
sink_data[87] => src4_data[87].DATAIN
sink_data[87] => src5_data[87].DATAIN
sink_data[87] => src6_data[87].DATAIN
sink_data[87] => src7_data[87].DATAIN
sink_data[87] => src8_data[87].DATAIN
sink_data[87] => src9_data[87].DATAIN
sink_data[87] => src10_data[87].DATAIN
sink_data[87] => src11_data[87].DATAIN
sink_data[87] => src12_data[87].DATAIN
sink_data[87] => src13_data[87].DATAIN
sink_data[87] => src14_data[87].DATAIN
sink_data[87] => src15_data[87].DATAIN
sink_data[87] => src16_data[87].DATAIN
sink_data[87] => src17_data[87].DATAIN
sink_data[87] => src18_data[87].DATAIN
sink_data[87] => src19_data[87].DATAIN
sink_data[87] => src20_data[87].DATAIN
sink_data[88] => src21_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src2_data[88].DATAIN
sink_data[88] => src3_data[88].DATAIN
sink_data[88] => src4_data[88].DATAIN
sink_data[88] => src5_data[88].DATAIN
sink_data[88] => src6_data[88].DATAIN
sink_data[88] => src7_data[88].DATAIN
sink_data[88] => src8_data[88].DATAIN
sink_data[88] => src9_data[88].DATAIN
sink_data[88] => src10_data[88].DATAIN
sink_data[88] => src11_data[88].DATAIN
sink_data[88] => src12_data[88].DATAIN
sink_data[88] => src13_data[88].DATAIN
sink_data[88] => src14_data[88].DATAIN
sink_data[88] => src15_data[88].DATAIN
sink_data[88] => src16_data[88].DATAIN
sink_data[88] => src17_data[88].DATAIN
sink_data[88] => src18_data[88].DATAIN
sink_data[88] => src19_data[88].DATAIN
sink_data[88] => src20_data[88].DATAIN
sink_data[89] => src21_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src2_data[89].DATAIN
sink_data[89] => src3_data[89].DATAIN
sink_data[89] => src4_data[89].DATAIN
sink_data[89] => src5_data[89].DATAIN
sink_data[89] => src6_data[89].DATAIN
sink_data[89] => src7_data[89].DATAIN
sink_data[89] => src8_data[89].DATAIN
sink_data[89] => src9_data[89].DATAIN
sink_data[89] => src10_data[89].DATAIN
sink_data[89] => src11_data[89].DATAIN
sink_data[89] => src12_data[89].DATAIN
sink_data[89] => src13_data[89].DATAIN
sink_data[89] => src14_data[89].DATAIN
sink_data[89] => src15_data[89].DATAIN
sink_data[89] => src16_data[89].DATAIN
sink_data[89] => src17_data[89].DATAIN
sink_data[89] => src18_data[89].DATAIN
sink_data[89] => src19_data[89].DATAIN
sink_data[89] => src20_data[89].DATAIN
sink_data[90] => src21_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src2_data[90].DATAIN
sink_data[90] => src3_data[90].DATAIN
sink_data[90] => src4_data[90].DATAIN
sink_data[90] => src5_data[90].DATAIN
sink_data[90] => src6_data[90].DATAIN
sink_data[90] => src7_data[90].DATAIN
sink_data[90] => src8_data[90].DATAIN
sink_data[90] => src9_data[90].DATAIN
sink_data[90] => src10_data[90].DATAIN
sink_data[90] => src11_data[90].DATAIN
sink_data[90] => src12_data[90].DATAIN
sink_data[90] => src13_data[90].DATAIN
sink_data[90] => src14_data[90].DATAIN
sink_data[90] => src15_data[90].DATAIN
sink_data[90] => src16_data[90].DATAIN
sink_data[90] => src17_data[90].DATAIN
sink_data[90] => src18_data[90].DATAIN
sink_data[90] => src19_data[90].DATAIN
sink_data[90] => src20_data[90].DATAIN
sink_data[91] => src21_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src2_data[91].DATAIN
sink_data[91] => src3_data[91].DATAIN
sink_data[91] => src4_data[91].DATAIN
sink_data[91] => src5_data[91].DATAIN
sink_data[91] => src6_data[91].DATAIN
sink_data[91] => src7_data[91].DATAIN
sink_data[91] => src8_data[91].DATAIN
sink_data[91] => src9_data[91].DATAIN
sink_data[91] => src10_data[91].DATAIN
sink_data[91] => src11_data[91].DATAIN
sink_data[91] => src12_data[91].DATAIN
sink_data[91] => src13_data[91].DATAIN
sink_data[91] => src14_data[91].DATAIN
sink_data[91] => src15_data[91].DATAIN
sink_data[91] => src16_data[91].DATAIN
sink_data[91] => src17_data[91].DATAIN
sink_data[91] => src18_data[91].DATAIN
sink_data[91] => src19_data[91].DATAIN
sink_data[91] => src20_data[91].DATAIN
sink_data[92] => src21_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src2_data[92].DATAIN
sink_data[92] => src3_data[92].DATAIN
sink_data[92] => src4_data[92].DATAIN
sink_data[92] => src5_data[92].DATAIN
sink_data[92] => src6_data[92].DATAIN
sink_data[92] => src7_data[92].DATAIN
sink_data[92] => src8_data[92].DATAIN
sink_data[92] => src9_data[92].DATAIN
sink_data[92] => src10_data[92].DATAIN
sink_data[92] => src11_data[92].DATAIN
sink_data[92] => src12_data[92].DATAIN
sink_data[92] => src13_data[92].DATAIN
sink_data[92] => src14_data[92].DATAIN
sink_data[92] => src15_data[92].DATAIN
sink_data[92] => src16_data[92].DATAIN
sink_data[92] => src17_data[92].DATAIN
sink_data[92] => src18_data[92].DATAIN
sink_data[92] => src19_data[92].DATAIN
sink_data[92] => src20_data[92].DATAIN
sink_data[93] => src21_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src2_data[93].DATAIN
sink_data[93] => src3_data[93].DATAIN
sink_data[93] => src4_data[93].DATAIN
sink_data[93] => src5_data[93].DATAIN
sink_data[93] => src6_data[93].DATAIN
sink_data[93] => src7_data[93].DATAIN
sink_data[93] => src8_data[93].DATAIN
sink_data[93] => src9_data[93].DATAIN
sink_data[93] => src10_data[93].DATAIN
sink_data[93] => src11_data[93].DATAIN
sink_data[93] => src12_data[93].DATAIN
sink_data[93] => src13_data[93].DATAIN
sink_data[93] => src14_data[93].DATAIN
sink_data[93] => src15_data[93].DATAIN
sink_data[93] => src16_data[93].DATAIN
sink_data[93] => src17_data[93].DATAIN
sink_data[93] => src18_data[93].DATAIN
sink_data[93] => src19_data[93].DATAIN
sink_data[93] => src20_data[93].DATAIN
sink_data[94] => src21_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src2_data[94].DATAIN
sink_data[94] => src3_data[94].DATAIN
sink_data[94] => src4_data[94].DATAIN
sink_data[94] => src5_data[94].DATAIN
sink_data[94] => src6_data[94].DATAIN
sink_data[94] => src7_data[94].DATAIN
sink_data[94] => src8_data[94].DATAIN
sink_data[94] => src9_data[94].DATAIN
sink_data[94] => src10_data[94].DATAIN
sink_data[94] => src11_data[94].DATAIN
sink_data[94] => src12_data[94].DATAIN
sink_data[94] => src13_data[94].DATAIN
sink_data[94] => src14_data[94].DATAIN
sink_data[94] => src15_data[94].DATAIN
sink_data[94] => src16_data[94].DATAIN
sink_data[94] => src17_data[94].DATAIN
sink_data[94] => src18_data[94].DATAIN
sink_data[94] => src19_data[94].DATAIN
sink_data[94] => src20_data[94].DATAIN
sink_data[95] => src21_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src2_data[95].DATAIN
sink_data[95] => src3_data[95].DATAIN
sink_data[95] => src4_data[95].DATAIN
sink_data[95] => src5_data[95].DATAIN
sink_data[95] => src6_data[95].DATAIN
sink_data[95] => src7_data[95].DATAIN
sink_data[95] => src8_data[95].DATAIN
sink_data[95] => src9_data[95].DATAIN
sink_data[95] => src10_data[95].DATAIN
sink_data[95] => src11_data[95].DATAIN
sink_data[95] => src12_data[95].DATAIN
sink_data[95] => src13_data[95].DATAIN
sink_data[95] => src14_data[95].DATAIN
sink_data[95] => src15_data[95].DATAIN
sink_data[95] => src16_data[95].DATAIN
sink_data[95] => src17_data[95].DATAIN
sink_data[95] => src18_data[95].DATAIN
sink_data[95] => src19_data[95].DATAIN
sink_data[95] => src20_data[95].DATAIN
sink_data[96] => src21_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src2_data[96].DATAIN
sink_data[96] => src3_data[96].DATAIN
sink_data[96] => src4_data[96].DATAIN
sink_data[96] => src5_data[96].DATAIN
sink_data[96] => src6_data[96].DATAIN
sink_data[96] => src7_data[96].DATAIN
sink_data[96] => src8_data[96].DATAIN
sink_data[96] => src9_data[96].DATAIN
sink_data[96] => src10_data[96].DATAIN
sink_data[96] => src11_data[96].DATAIN
sink_data[96] => src12_data[96].DATAIN
sink_data[96] => src13_data[96].DATAIN
sink_data[96] => src14_data[96].DATAIN
sink_data[96] => src15_data[96].DATAIN
sink_data[96] => src16_data[96].DATAIN
sink_data[96] => src17_data[96].DATAIN
sink_data[96] => src18_data[96].DATAIN
sink_data[96] => src19_data[96].DATAIN
sink_data[96] => src20_data[96].DATAIN
sink_data[97] => src21_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src2_data[97].DATAIN
sink_data[97] => src3_data[97].DATAIN
sink_data[97] => src4_data[97].DATAIN
sink_data[97] => src5_data[97].DATAIN
sink_data[97] => src6_data[97].DATAIN
sink_data[97] => src7_data[97].DATAIN
sink_data[97] => src8_data[97].DATAIN
sink_data[97] => src9_data[97].DATAIN
sink_data[97] => src10_data[97].DATAIN
sink_data[97] => src11_data[97].DATAIN
sink_data[97] => src12_data[97].DATAIN
sink_data[97] => src13_data[97].DATAIN
sink_data[97] => src14_data[97].DATAIN
sink_data[97] => src15_data[97].DATAIN
sink_data[97] => src16_data[97].DATAIN
sink_data[97] => src17_data[97].DATAIN
sink_data[97] => src18_data[97].DATAIN
sink_data[97] => src19_data[97].DATAIN
sink_data[97] => src20_data[97].DATAIN
sink_data[98] => src21_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src2_data[98].DATAIN
sink_data[98] => src3_data[98].DATAIN
sink_data[98] => src4_data[98].DATAIN
sink_data[98] => src5_data[98].DATAIN
sink_data[98] => src6_data[98].DATAIN
sink_data[98] => src7_data[98].DATAIN
sink_data[98] => src8_data[98].DATAIN
sink_data[98] => src9_data[98].DATAIN
sink_data[98] => src10_data[98].DATAIN
sink_data[98] => src11_data[98].DATAIN
sink_data[98] => src12_data[98].DATAIN
sink_data[98] => src13_data[98].DATAIN
sink_data[98] => src14_data[98].DATAIN
sink_data[98] => src15_data[98].DATAIN
sink_data[98] => src16_data[98].DATAIN
sink_data[98] => src17_data[98].DATAIN
sink_data[98] => src18_data[98].DATAIN
sink_data[98] => src19_data[98].DATAIN
sink_data[98] => src20_data[98].DATAIN
sink_data[99] => src21_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src2_data[99].DATAIN
sink_data[99] => src3_data[99].DATAIN
sink_data[99] => src4_data[99].DATAIN
sink_data[99] => src5_data[99].DATAIN
sink_data[99] => src6_data[99].DATAIN
sink_data[99] => src7_data[99].DATAIN
sink_data[99] => src8_data[99].DATAIN
sink_data[99] => src9_data[99].DATAIN
sink_data[99] => src10_data[99].DATAIN
sink_data[99] => src11_data[99].DATAIN
sink_data[99] => src12_data[99].DATAIN
sink_data[99] => src13_data[99].DATAIN
sink_data[99] => src14_data[99].DATAIN
sink_data[99] => src15_data[99].DATAIN
sink_data[99] => src16_data[99].DATAIN
sink_data[99] => src17_data[99].DATAIN
sink_data[99] => src18_data[99].DATAIN
sink_data[99] => src19_data[99].DATAIN
sink_data[99] => src20_data[99].DATAIN
sink_data[100] => src21_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src2_data[100].DATAIN
sink_data[100] => src3_data[100].DATAIN
sink_data[100] => src4_data[100].DATAIN
sink_data[100] => src5_data[100].DATAIN
sink_data[100] => src6_data[100].DATAIN
sink_data[100] => src7_data[100].DATAIN
sink_data[100] => src8_data[100].DATAIN
sink_data[100] => src9_data[100].DATAIN
sink_data[100] => src10_data[100].DATAIN
sink_data[100] => src11_data[100].DATAIN
sink_data[100] => src12_data[100].DATAIN
sink_data[100] => src13_data[100].DATAIN
sink_data[100] => src14_data[100].DATAIN
sink_data[100] => src15_data[100].DATAIN
sink_data[100] => src16_data[100].DATAIN
sink_data[100] => src17_data[100].DATAIN
sink_data[100] => src18_data[100].DATAIN
sink_data[100] => src19_data[100].DATAIN
sink_data[100] => src20_data[100].DATAIN
sink_data[101] => src21_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src2_data[101].DATAIN
sink_data[101] => src3_data[101].DATAIN
sink_data[101] => src4_data[101].DATAIN
sink_data[101] => src5_data[101].DATAIN
sink_data[101] => src6_data[101].DATAIN
sink_data[101] => src7_data[101].DATAIN
sink_data[101] => src8_data[101].DATAIN
sink_data[101] => src9_data[101].DATAIN
sink_data[101] => src10_data[101].DATAIN
sink_data[101] => src11_data[101].DATAIN
sink_data[101] => src12_data[101].DATAIN
sink_data[101] => src13_data[101].DATAIN
sink_data[101] => src14_data[101].DATAIN
sink_data[101] => src15_data[101].DATAIN
sink_data[101] => src16_data[101].DATAIN
sink_data[101] => src17_data[101].DATAIN
sink_data[101] => src18_data[101].DATAIN
sink_data[101] => src19_data[101].DATAIN
sink_data[101] => src20_data[101].DATAIN
sink_data[102] => src21_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src2_data[102].DATAIN
sink_data[102] => src3_data[102].DATAIN
sink_data[102] => src4_data[102].DATAIN
sink_data[102] => src5_data[102].DATAIN
sink_data[102] => src6_data[102].DATAIN
sink_data[102] => src7_data[102].DATAIN
sink_data[102] => src8_data[102].DATAIN
sink_data[102] => src9_data[102].DATAIN
sink_data[102] => src10_data[102].DATAIN
sink_data[102] => src11_data[102].DATAIN
sink_data[102] => src12_data[102].DATAIN
sink_data[102] => src13_data[102].DATAIN
sink_data[102] => src14_data[102].DATAIN
sink_data[102] => src15_data[102].DATAIN
sink_data[102] => src16_data[102].DATAIN
sink_data[102] => src17_data[102].DATAIN
sink_data[102] => src18_data[102].DATAIN
sink_data[102] => src19_data[102].DATAIN
sink_data[102] => src20_data[102].DATAIN
sink_data[103] => src21_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src2_data[103].DATAIN
sink_data[103] => src3_data[103].DATAIN
sink_data[103] => src4_data[103].DATAIN
sink_data[103] => src5_data[103].DATAIN
sink_data[103] => src6_data[103].DATAIN
sink_data[103] => src7_data[103].DATAIN
sink_data[103] => src8_data[103].DATAIN
sink_data[103] => src9_data[103].DATAIN
sink_data[103] => src10_data[103].DATAIN
sink_data[103] => src11_data[103].DATAIN
sink_data[103] => src12_data[103].DATAIN
sink_data[103] => src13_data[103].DATAIN
sink_data[103] => src14_data[103].DATAIN
sink_data[103] => src15_data[103].DATAIN
sink_data[103] => src16_data[103].DATAIN
sink_data[103] => src17_data[103].DATAIN
sink_data[103] => src18_data[103].DATAIN
sink_data[103] => src19_data[103].DATAIN
sink_data[103] => src20_data[103].DATAIN
sink_data[104] => src21_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src2_data[104].DATAIN
sink_data[104] => src3_data[104].DATAIN
sink_data[104] => src4_data[104].DATAIN
sink_data[104] => src5_data[104].DATAIN
sink_data[104] => src6_data[104].DATAIN
sink_data[104] => src7_data[104].DATAIN
sink_data[104] => src8_data[104].DATAIN
sink_data[104] => src9_data[104].DATAIN
sink_data[104] => src10_data[104].DATAIN
sink_data[104] => src11_data[104].DATAIN
sink_data[104] => src12_data[104].DATAIN
sink_data[104] => src13_data[104].DATAIN
sink_data[104] => src14_data[104].DATAIN
sink_data[104] => src15_data[104].DATAIN
sink_data[104] => src16_data[104].DATAIN
sink_data[104] => src17_data[104].DATAIN
sink_data[104] => src18_data[104].DATAIN
sink_data[104] => src19_data[104].DATAIN
sink_data[104] => src20_data[104].DATAIN
sink_data[105] => src21_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src2_data[105].DATAIN
sink_data[105] => src3_data[105].DATAIN
sink_data[105] => src4_data[105].DATAIN
sink_data[105] => src5_data[105].DATAIN
sink_data[105] => src6_data[105].DATAIN
sink_data[105] => src7_data[105].DATAIN
sink_data[105] => src8_data[105].DATAIN
sink_data[105] => src9_data[105].DATAIN
sink_data[105] => src10_data[105].DATAIN
sink_data[105] => src11_data[105].DATAIN
sink_data[105] => src12_data[105].DATAIN
sink_data[105] => src13_data[105].DATAIN
sink_data[105] => src14_data[105].DATAIN
sink_data[105] => src15_data[105].DATAIN
sink_data[105] => src16_data[105].DATAIN
sink_data[105] => src17_data[105].DATAIN
sink_data[105] => src18_data[105].DATAIN
sink_data[105] => src19_data[105].DATAIN
sink_data[105] => src20_data[105].DATAIN
sink_data[106] => src21_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src2_data[106].DATAIN
sink_data[106] => src3_data[106].DATAIN
sink_data[106] => src4_data[106].DATAIN
sink_data[106] => src5_data[106].DATAIN
sink_data[106] => src6_data[106].DATAIN
sink_data[106] => src7_data[106].DATAIN
sink_data[106] => src8_data[106].DATAIN
sink_data[106] => src9_data[106].DATAIN
sink_data[106] => src10_data[106].DATAIN
sink_data[106] => src11_data[106].DATAIN
sink_data[106] => src12_data[106].DATAIN
sink_data[106] => src13_data[106].DATAIN
sink_data[106] => src14_data[106].DATAIN
sink_data[106] => src15_data[106].DATAIN
sink_data[106] => src16_data[106].DATAIN
sink_data[106] => src17_data[106].DATAIN
sink_data[106] => src18_data[106].DATAIN
sink_data[106] => src19_data[106].DATAIN
sink_data[106] => src20_data[106].DATAIN
sink_data[107] => src21_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src2_data[107].DATAIN
sink_data[107] => src3_data[107].DATAIN
sink_data[107] => src4_data[107].DATAIN
sink_data[107] => src5_data[107].DATAIN
sink_data[107] => src6_data[107].DATAIN
sink_data[107] => src7_data[107].DATAIN
sink_data[107] => src8_data[107].DATAIN
sink_data[107] => src9_data[107].DATAIN
sink_data[107] => src10_data[107].DATAIN
sink_data[107] => src11_data[107].DATAIN
sink_data[107] => src12_data[107].DATAIN
sink_data[107] => src13_data[107].DATAIN
sink_data[107] => src14_data[107].DATAIN
sink_data[107] => src15_data[107].DATAIN
sink_data[107] => src16_data[107].DATAIN
sink_data[107] => src17_data[107].DATAIN
sink_data[107] => src18_data[107].DATAIN
sink_data[107] => src19_data[107].DATAIN
sink_data[107] => src20_data[107].DATAIN
sink_data[108] => src21_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src2_data[108].DATAIN
sink_data[108] => src3_data[108].DATAIN
sink_data[108] => src4_data[108].DATAIN
sink_data[108] => src5_data[108].DATAIN
sink_data[108] => src6_data[108].DATAIN
sink_data[108] => src7_data[108].DATAIN
sink_data[108] => src8_data[108].DATAIN
sink_data[108] => src9_data[108].DATAIN
sink_data[108] => src10_data[108].DATAIN
sink_data[108] => src11_data[108].DATAIN
sink_data[108] => src12_data[108].DATAIN
sink_data[108] => src13_data[108].DATAIN
sink_data[108] => src14_data[108].DATAIN
sink_data[108] => src15_data[108].DATAIN
sink_data[108] => src16_data[108].DATAIN
sink_data[108] => src17_data[108].DATAIN
sink_data[108] => src18_data[108].DATAIN
sink_data[108] => src19_data[108].DATAIN
sink_data[108] => src20_data[108].DATAIN
sink_data[109] => src21_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src2_data[109].DATAIN
sink_data[109] => src3_data[109].DATAIN
sink_data[109] => src4_data[109].DATAIN
sink_data[109] => src5_data[109].DATAIN
sink_data[109] => src6_data[109].DATAIN
sink_data[109] => src7_data[109].DATAIN
sink_data[109] => src8_data[109].DATAIN
sink_data[109] => src9_data[109].DATAIN
sink_data[109] => src10_data[109].DATAIN
sink_data[109] => src11_data[109].DATAIN
sink_data[109] => src12_data[109].DATAIN
sink_data[109] => src13_data[109].DATAIN
sink_data[109] => src14_data[109].DATAIN
sink_data[109] => src15_data[109].DATAIN
sink_data[109] => src16_data[109].DATAIN
sink_data[109] => src17_data[109].DATAIN
sink_data[109] => src18_data[109].DATAIN
sink_data[109] => src19_data[109].DATAIN
sink_data[109] => src20_data[109].DATAIN
sink_data[110] => src21_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src2_data[110].DATAIN
sink_data[110] => src3_data[110].DATAIN
sink_data[110] => src4_data[110].DATAIN
sink_data[110] => src5_data[110].DATAIN
sink_data[110] => src6_data[110].DATAIN
sink_data[110] => src7_data[110].DATAIN
sink_data[110] => src8_data[110].DATAIN
sink_data[110] => src9_data[110].DATAIN
sink_data[110] => src10_data[110].DATAIN
sink_data[110] => src11_data[110].DATAIN
sink_data[110] => src12_data[110].DATAIN
sink_data[110] => src13_data[110].DATAIN
sink_data[110] => src14_data[110].DATAIN
sink_data[110] => src15_data[110].DATAIN
sink_data[110] => src16_data[110].DATAIN
sink_data[110] => src17_data[110].DATAIN
sink_data[110] => src18_data[110].DATAIN
sink_data[110] => src19_data[110].DATAIN
sink_data[110] => src20_data[110].DATAIN
sink_data[111] => src21_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src2_data[111].DATAIN
sink_data[111] => src3_data[111].DATAIN
sink_data[111] => src4_data[111].DATAIN
sink_data[111] => src5_data[111].DATAIN
sink_data[111] => src6_data[111].DATAIN
sink_data[111] => src7_data[111].DATAIN
sink_data[111] => src8_data[111].DATAIN
sink_data[111] => src9_data[111].DATAIN
sink_data[111] => src10_data[111].DATAIN
sink_data[111] => src11_data[111].DATAIN
sink_data[111] => src12_data[111].DATAIN
sink_data[111] => src13_data[111].DATAIN
sink_data[111] => src14_data[111].DATAIN
sink_data[111] => src15_data[111].DATAIN
sink_data[111] => src16_data[111].DATAIN
sink_data[111] => src17_data[111].DATAIN
sink_data[111] => src18_data[111].DATAIN
sink_data[111] => src19_data[111].DATAIN
sink_data[111] => src20_data[111].DATAIN
sink_data[112] => src21_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src2_data[112].DATAIN
sink_data[112] => src3_data[112].DATAIN
sink_data[112] => src4_data[112].DATAIN
sink_data[112] => src5_data[112].DATAIN
sink_data[112] => src6_data[112].DATAIN
sink_data[112] => src7_data[112].DATAIN
sink_data[112] => src8_data[112].DATAIN
sink_data[112] => src9_data[112].DATAIN
sink_data[112] => src10_data[112].DATAIN
sink_data[112] => src11_data[112].DATAIN
sink_data[112] => src12_data[112].DATAIN
sink_data[112] => src13_data[112].DATAIN
sink_data[112] => src14_data[112].DATAIN
sink_data[112] => src15_data[112].DATAIN
sink_data[112] => src16_data[112].DATAIN
sink_data[112] => src17_data[112].DATAIN
sink_data[112] => src18_data[112].DATAIN
sink_data[112] => src19_data[112].DATAIN
sink_data[112] => src20_data[112].DATAIN
sink_data[113] => src21_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src2_data[113].DATAIN
sink_data[113] => src3_data[113].DATAIN
sink_data[113] => src4_data[113].DATAIN
sink_data[113] => src5_data[113].DATAIN
sink_data[113] => src6_data[113].DATAIN
sink_data[113] => src7_data[113].DATAIN
sink_data[113] => src8_data[113].DATAIN
sink_data[113] => src9_data[113].DATAIN
sink_data[113] => src10_data[113].DATAIN
sink_data[113] => src11_data[113].DATAIN
sink_data[113] => src12_data[113].DATAIN
sink_data[113] => src13_data[113].DATAIN
sink_data[113] => src14_data[113].DATAIN
sink_data[113] => src15_data[113].DATAIN
sink_data[113] => src16_data[113].DATAIN
sink_data[113] => src17_data[113].DATAIN
sink_data[113] => src18_data[113].DATAIN
sink_data[113] => src19_data[113].DATAIN
sink_data[113] => src20_data[113].DATAIN
sink_data[114] => src21_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src2_data[114].DATAIN
sink_data[114] => src3_data[114].DATAIN
sink_data[114] => src4_data[114].DATAIN
sink_data[114] => src5_data[114].DATAIN
sink_data[114] => src6_data[114].DATAIN
sink_data[114] => src7_data[114].DATAIN
sink_data[114] => src8_data[114].DATAIN
sink_data[114] => src9_data[114].DATAIN
sink_data[114] => src10_data[114].DATAIN
sink_data[114] => src11_data[114].DATAIN
sink_data[114] => src12_data[114].DATAIN
sink_data[114] => src13_data[114].DATAIN
sink_data[114] => src14_data[114].DATAIN
sink_data[114] => src15_data[114].DATAIN
sink_data[114] => src16_data[114].DATAIN
sink_data[114] => src17_data[114].DATAIN
sink_data[114] => src18_data[114].DATAIN
sink_data[114] => src19_data[114].DATAIN
sink_data[114] => src20_data[114].DATAIN
sink_data[115] => src21_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src2_data[115].DATAIN
sink_data[115] => src3_data[115].DATAIN
sink_data[115] => src4_data[115].DATAIN
sink_data[115] => src5_data[115].DATAIN
sink_data[115] => src6_data[115].DATAIN
sink_data[115] => src7_data[115].DATAIN
sink_data[115] => src8_data[115].DATAIN
sink_data[115] => src9_data[115].DATAIN
sink_data[115] => src10_data[115].DATAIN
sink_data[115] => src11_data[115].DATAIN
sink_data[115] => src12_data[115].DATAIN
sink_data[115] => src13_data[115].DATAIN
sink_data[115] => src14_data[115].DATAIN
sink_data[115] => src15_data[115].DATAIN
sink_data[115] => src16_data[115].DATAIN
sink_data[115] => src17_data[115].DATAIN
sink_data[115] => src18_data[115].DATAIN
sink_data[115] => src19_data[115].DATAIN
sink_data[115] => src20_data[115].DATAIN
sink_data[116] => src21_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src2_data[116].DATAIN
sink_data[116] => src3_data[116].DATAIN
sink_data[116] => src4_data[116].DATAIN
sink_data[116] => src5_data[116].DATAIN
sink_data[116] => src6_data[116].DATAIN
sink_data[116] => src7_data[116].DATAIN
sink_data[116] => src8_data[116].DATAIN
sink_data[116] => src9_data[116].DATAIN
sink_data[116] => src10_data[116].DATAIN
sink_data[116] => src11_data[116].DATAIN
sink_data[116] => src12_data[116].DATAIN
sink_data[116] => src13_data[116].DATAIN
sink_data[116] => src14_data[116].DATAIN
sink_data[116] => src15_data[116].DATAIN
sink_data[116] => src16_data[116].DATAIN
sink_data[116] => src17_data[116].DATAIN
sink_data[116] => src18_data[116].DATAIN
sink_data[116] => src19_data[116].DATAIN
sink_data[116] => src20_data[116].DATAIN
sink_data[117] => src21_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src2_data[117].DATAIN
sink_data[117] => src3_data[117].DATAIN
sink_data[117] => src4_data[117].DATAIN
sink_data[117] => src5_data[117].DATAIN
sink_data[117] => src6_data[117].DATAIN
sink_data[117] => src7_data[117].DATAIN
sink_data[117] => src8_data[117].DATAIN
sink_data[117] => src9_data[117].DATAIN
sink_data[117] => src10_data[117].DATAIN
sink_data[117] => src11_data[117].DATAIN
sink_data[117] => src12_data[117].DATAIN
sink_data[117] => src13_data[117].DATAIN
sink_data[117] => src14_data[117].DATAIN
sink_data[117] => src15_data[117].DATAIN
sink_data[117] => src16_data[117].DATAIN
sink_data[117] => src17_data[117].DATAIN
sink_data[117] => src18_data[117].DATAIN
sink_data[117] => src19_data[117].DATAIN
sink_data[117] => src20_data[117].DATAIN
sink_data[118] => src21_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src2_data[118].DATAIN
sink_data[118] => src3_data[118].DATAIN
sink_data[118] => src4_data[118].DATAIN
sink_data[118] => src5_data[118].DATAIN
sink_data[118] => src6_data[118].DATAIN
sink_data[118] => src7_data[118].DATAIN
sink_data[118] => src8_data[118].DATAIN
sink_data[118] => src9_data[118].DATAIN
sink_data[118] => src10_data[118].DATAIN
sink_data[118] => src11_data[118].DATAIN
sink_data[118] => src12_data[118].DATAIN
sink_data[118] => src13_data[118].DATAIN
sink_data[118] => src14_data[118].DATAIN
sink_data[118] => src15_data[118].DATAIN
sink_data[118] => src16_data[118].DATAIN
sink_data[118] => src17_data[118].DATAIN
sink_data[118] => src18_data[118].DATAIN
sink_data[118] => src19_data[118].DATAIN
sink_data[118] => src20_data[118].DATAIN
sink_data[119] => src21_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src2_data[119].DATAIN
sink_data[119] => src3_data[119].DATAIN
sink_data[119] => src4_data[119].DATAIN
sink_data[119] => src5_data[119].DATAIN
sink_data[119] => src6_data[119].DATAIN
sink_data[119] => src7_data[119].DATAIN
sink_data[119] => src8_data[119].DATAIN
sink_data[119] => src9_data[119].DATAIN
sink_data[119] => src10_data[119].DATAIN
sink_data[119] => src11_data[119].DATAIN
sink_data[119] => src12_data[119].DATAIN
sink_data[119] => src13_data[119].DATAIN
sink_data[119] => src14_data[119].DATAIN
sink_data[119] => src15_data[119].DATAIN
sink_data[119] => src16_data[119].DATAIN
sink_data[119] => src17_data[119].DATAIN
sink_data[119] => src18_data[119].DATAIN
sink_data[119] => src19_data[119].DATAIN
sink_data[119] => src20_data[119].DATAIN
sink_data[120] => src21_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src2_data[120].DATAIN
sink_data[120] => src3_data[120].DATAIN
sink_data[120] => src4_data[120].DATAIN
sink_data[120] => src5_data[120].DATAIN
sink_data[120] => src6_data[120].DATAIN
sink_data[120] => src7_data[120].DATAIN
sink_data[120] => src8_data[120].DATAIN
sink_data[120] => src9_data[120].DATAIN
sink_data[120] => src10_data[120].DATAIN
sink_data[120] => src11_data[120].DATAIN
sink_data[120] => src12_data[120].DATAIN
sink_data[120] => src13_data[120].DATAIN
sink_data[120] => src14_data[120].DATAIN
sink_data[120] => src15_data[120].DATAIN
sink_data[120] => src16_data[120].DATAIN
sink_data[120] => src17_data[120].DATAIN
sink_data[120] => src18_data[120].DATAIN
sink_data[120] => src19_data[120].DATAIN
sink_data[120] => src20_data[120].DATAIN
sink_data[121] => src21_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src2_data[121].DATAIN
sink_data[121] => src3_data[121].DATAIN
sink_data[121] => src4_data[121].DATAIN
sink_data[121] => src5_data[121].DATAIN
sink_data[121] => src6_data[121].DATAIN
sink_data[121] => src7_data[121].DATAIN
sink_data[121] => src8_data[121].DATAIN
sink_data[121] => src9_data[121].DATAIN
sink_data[121] => src10_data[121].DATAIN
sink_data[121] => src11_data[121].DATAIN
sink_data[121] => src12_data[121].DATAIN
sink_data[121] => src13_data[121].DATAIN
sink_data[121] => src14_data[121].DATAIN
sink_data[121] => src15_data[121].DATAIN
sink_data[121] => src16_data[121].DATAIN
sink_data[121] => src17_data[121].DATAIN
sink_data[121] => src18_data[121].DATAIN
sink_data[121] => src19_data[121].DATAIN
sink_data[121] => src20_data[121].DATAIN
sink_data[122] => src21_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src2_data[122].DATAIN
sink_data[122] => src3_data[122].DATAIN
sink_data[122] => src4_data[122].DATAIN
sink_data[122] => src5_data[122].DATAIN
sink_data[122] => src6_data[122].DATAIN
sink_data[122] => src7_data[122].DATAIN
sink_data[122] => src8_data[122].DATAIN
sink_data[122] => src9_data[122].DATAIN
sink_data[122] => src10_data[122].DATAIN
sink_data[122] => src11_data[122].DATAIN
sink_data[122] => src12_data[122].DATAIN
sink_data[122] => src13_data[122].DATAIN
sink_data[122] => src14_data[122].DATAIN
sink_data[122] => src15_data[122].DATAIN
sink_data[122] => src16_data[122].DATAIN
sink_data[122] => src17_data[122].DATAIN
sink_data[122] => src18_data[122].DATAIN
sink_data[122] => src19_data[122].DATAIN
sink_data[122] => src20_data[122].DATAIN
sink_data[123] => src21_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src2_data[123].DATAIN
sink_data[123] => src3_data[123].DATAIN
sink_data[123] => src4_data[123].DATAIN
sink_data[123] => src5_data[123].DATAIN
sink_data[123] => src6_data[123].DATAIN
sink_data[123] => src7_data[123].DATAIN
sink_data[123] => src8_data[123].DATAIN
sink_data[123] => src9_data[123].DATAIN
sink_data[123] => src10_data[123].DATAIN
sink_data[123] => src11_data[123].DATAIN
sink_data[123] => src12_data[123].DATAIN
sink_data[123] => src13_data[123].DATAIN
sink_data[123] => src14_data[123].DATAIN
sink_data[123] => src15_data[123].DATAIN
sink_data[123] => src16_data[123].DATAIN
sink_data[123] => src17_data[123].DATAIN
sink_data[123] => src18_data[123].DATAIN
sink_data[123] => src19_data[123].DATAIN
sink_data[123] => src20_data[123].DATAIN
sink_data[124] => src21_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src2_data[124].DATAIN
sink_data[124] => src3_data[124].DATAIN
sink_data[124] => src4_data[124].DATAIN
sink_data[124] => src5_data[124].DATAIN
sink_data[124] => src6_data[124].DATAIN
sink_data[124] => src7_data[124].DATAIN
sink_data[124] => src8_data[124].DATAIN
sink_data[124] => src9_data[124].DATAIN
sink_data[124] => src10_data[124].DATAIN
sink_data[124] => src11_data[124].DATAIN
sink_data[124] => src12_data[124].DATAIN
sink_data[124] => src13_data[124].DATAIN
sink_data[124] => src14_data[124].DATAIN
sink_data[124] => src15_data[124].DATAIN
sink_data[124] => src16_data[124].DATAIN
sink_data[124] => src17_data[124].DATAIN
sink_data[124] => src18_data[124].DATAIN
sink_data[124] => src19_data[124].DATAIN
sink_data[124] => src20_data[124].DATAIN
sink_data[125] => src21_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src2_data[125].DATAIN
sink_data[125] => src3_data[125].DATAIN
sink_data[125] => src4_data[125].DATAIN
sink_data[125] => src5_data[125].DATAIN
sink_data[125] => src6_data[125].DATAIN
sink_data[125] => src7_data[125].DATAIN
sink_data[125] => src8_data[125].DATAIN
sink_data[125] => src9_data[125].DATAIN
sink_data[125] => src10_data[125].DATAIN
sink_data[125] => src11_data[125].DATAIN
sink_data[125] => src12_data[125].DATAIN
sink_data[125] => src13_data[125].DATAIN
sink_data[125] => src14_data[125].DATAIN
sink_data[125] => src15_data[125].DATAIN
sink_data[125] => src16_data[125].DATAIN
sink_data[125] => src17_data[125].DATAIN
sink_data[125] => src18_data[125].DATAIN
sink_data[125] => src19_data[125].DATAIN
sink_data[125] => src20_data[125].DATAIN
sink_data[126] => src21_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src2_data[126].DATAIN
sink_data[126] => src3_data[126].DATAIN
sink_data[126] => src4_data[126].DATAIN
sink_data[126] => src5_data[126].DATAIN
sink_data[126] => src6_data[126].DATAIN
sink_data[126] => src7_data[126].DATAIN
sink_data[126] => src8_data[126].DATAIN
sink_data[126] => src9_data[126].DATAIN
sink_data[126] => src10_data[126].DATAIN
sink_data[126] => src11_data[126].DATAIN
sink_data[126] => src12_data[126].DATAIN
sink_data[126] => src13_data[126].DATAIN
sink_data[126] => src14_data[126].DATAIN
sink_data[126] => src15_data[126].DATAIN
sink_data[126] => src16_data[126].DATAIN
sink_data[126] => src17_data[126].DATAIN
sink_data[126] => src18_data[126].DATAIN
sink_data[126] => src19_data[126].DATAIN
sink_data[126] => src20_data[126].DATAIN
sink_data[127] => src21_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src2_data[127].DATAIN
sink_data[127] => src3_data[127].DATAIN
sink_data[127] => src4_data[127].DATAIN
sink_data[127] => src5_data[127].DATAIN
sink_data[127] => src6_data[127].DATAIN
sink_data[127] => src7_data[127].DATAIN
sink_data[127] => src8_data[127].DATAIN
sink_data[127] => src9_data[127].DATAIN
sink_data[127] => src10_data[127].DATAIN
sink_data[127] => src11_data[127].DATAIN
sink_data[127] => src12_data[127].DATAIN
sink_data[127] => src13_data[127].DATAIN
sink_data[127] => src14_data[127].DATAIN
sink_data[127] => src15_data[127].DATAIN
sink_data[127] => src16_data[127].DATAIN
sink_data[127] => src17_data[127].DATAIN
sink_data[127] => src18_data[127].DATAIN
sink_data[127] => src19_data[127].DATAIN
sink_data[127] => src20_data[127].DATAIN
sink_data[128] => src21_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src2_data[128].DATAIN
sink_data[128] => src3_data[128].DATAIN
sink_data[128] => src4_data[128].DATAIN
sink_data[128] => src5_data[128].DATAIN
sink_data[128] => src6_data[128].DATAIN
sink_data[128] => src7_data[128].DATAIN
sink_data[128] => src8_data[128].DATAIN
sink_data[128] => src9_data[128].DATAIN
sink_data[128] => src10_data[128].DATAIN
sink_data[128] => src11_data[128].DATAIN
sink_data[128] => src12_data[128].DATAIN
sink_data[128] => src13_data[128].DATAIN
sink_data[128] => src14_data[128].DATAIN
sink_data[128] => src15_data[128].DATAIN
sink_data[128] => src16_data[128].DATAIN
sink_data[128] => src17_data[128].DATAIN
sink_data[128] => src18_data[128].DATAIN
sink_data[128] => src19_data[128].DATAIN
sink_data[128] => src20_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src2_valid.IN1
sink_channel[2] => sink_ready.IN0
sink_channel[3] => src3_valid.IN1
sink_channel[3] => sink_ready.IN0
sink_channel[4] => src4_valid.IN1
sink_channel[4] => sink_ready.IN0
sink_channel[5] => src5_valid.IN1
sink_channel[5] => sink_ready.IN0
sink_channel[6] => src6_valid.IN1
sink_channel[6] => sink_ready.IN0
sink_channel[7] => src7_valid.IN1
sink_channel[7] => sink_ready.IN0
sink_channel[8] => src8_valid.IN1
sink_channel[8] => sink_ready.IN0
sink_channel[9] => src9_valid.IN1
sink_channel[9] => sink_ready.IN0
sink_channel[10] => src10_valid.IN1
sink_channel[10] => sink_ready.IN0
sink_channel[11] => src11_valid.IN1
sink_channel[11] => sink_ready.IN0
sink_channel[12] => src12_valid.IN1
sink_channel[12] => sink_ready.IN0
sink_channel[13] => src13_valid.IN1
sink_channel[13] => sink_ready.IN0
sink_channel[14] => src14_valid.IN1
sink_channel[14] => sink_ready.IN0
sink_channel[15] => src15_valid.IN1
sink_channel[15] => sink_ready.IN0
sink_channel[16] => src16_valid.IN1
sink_channel[16] => sink_ready.IN0
sink_channel[17] => src17_valid.IN1
sink_channel[17] => sink_ready.IN0
sink_channel[18] => src18_valid.IN1
sink_channel[18] => sink_ready.IN0
sink_channel[19] => src19_valid.IN1
sink_channel[19] => sink_ready.IN0
sink_channel[20] => src20_valid.IN1
sink_channel[20] => sink_ready.IN0
sink_channel[21] => src21_valid.IN1
sink_channel[21] => sink_ready.IN0
sink_startofpacket => src21_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src2_startofpacket.DATAIN
sink_startofpacket => src3_startofpacket.DATAIN
sink_startofpacket => src4_startofpacket.DATAIN
sink_startofpacket => src5_startofpacket.DATAIN
sink_startofpacket => src6_startofpacket.DATAIN
sink_startofpacket => src7_startofpacket.DATAIN
sink_startofpacket => src8_startofpacket.DATAIN
sink_startofpacket => src9_startofpacket.DATAIN
sink_startofpacket => src10_startofpacket.DATAIN
sink_startofpacket => src11_startofpacket.DATAIN
sink_startofpacket => src12_startofpacket.DATAIN
sink_startofpacket => src13_startofpacket.DATAIN
sink_startofpacket => src14_startofpacket.DATAIN
sink_startofpacket => src15_startofpacket.DATAIN
sink_startofpacket => src16_startofpacket.DATAIN
sink_startofpacket => src17_startofpacket.DATAIN
sink_startofpacket => src18_startofpacket.DATAIN
sink_startofpacket => src19_startofpacket.DATAIN
sink_startofpacket => src20_startofpacket.DATAIN
sink_endofpacket => src21_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src2_endofpacket.DATAIN
sink_endofpacket => src3_endofpacket.DATAIN
sink_endofpacket => src4_endofpacket.DATAIN
sink_endofpacket => src5_endofpacket.DATAIN
sink_endofpacket => src6_endofpacket.DATAIN
sink_endofpacket => src7_endofpacket.DATAIN
sink_endofpacket => src8_endofpacket.DATAIN
sink_endofpacket => src9_endofpacket.DATAIN
sink_endofpacket => src10_endofpacket.DATAIN
sink_endofpacket => src11_endofpacket.DATAIN
sink_endofpacket => src12_endofpacket.DATAIN
sink_endofpacket => src13_endofpacket.DATAIN
sink_endofpacket => src14_endofpacket.DATAIN
sink_endofpacket => src15_endofpacket.DATAIN
sink_endofpacket => src16_endofpacket.DATAIN
sink_endofpacket => src17_endofpacket.DATAIN
sink_endofpacket => src18_endofpacket.DATAIN
sink_endofpacket => src19_endofpacket.DATAIN
sink_endofpacket => src20_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= <GND>
src0_channel[1] <= <GND>
src0_channel[2] <= <GND>
src0_channel[3] <= <GND>
src0_channel[4] <= <GND>
src0_channel[5] <= <GND>
src0_channel[6] <= <GND>
src0_channel[7] <= <GND>
src0_channel[8] <= <GND>
src0_channel[9] <= <GND>
src0_channel[10] <= <GND>
src0_channel[11] <= <GND>
src0_channel[12] <= <GND>
src0_channel[13] <= <GND>
src0_channel[14] <= <GND>
src0_channel[15] <= <GND>
src0_channel[16] <= <GND>
src0_channel[17] <= <GND>
src0_channel[18] <= <GND>
src0_channel[19] <= <GND>
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= <GND>
src1_channel[1] <= <GND>
src1_channel[2] <= <GND>
src1_channel[3] <= <GND>
src1_channel[4] <= <GND>
src1_channel[5] <= <GND>
src1_channel[6] <= <GND>
src1_channel[7] <= <GND>
src1_channel[8] <= <GND>
src1_channel[9] <= <GND>
src1_channel[10] <= <GND>
src1_channel[11] <= <GND>
src1_channel[12] <= <GND>
src1_channel[13] <= <GND>
src1_channel[14] <= <GND>
src1_channel[15] <= <GND>
src1_channel[16] <= <GND>
src1_channel[17] <= <GND>
src1_channel[18] <= <GND>
src1_channel[19] <= <GND>
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
src2_valid <= src2_valid.DB_MAX_OUTPUT_PORT_TYPE
src2_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src2_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src2_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src2_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src2_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src2_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src2_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src2_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src2_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src2_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src2_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src2_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src2_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src2_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src2_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src2_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src2_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src2_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src2_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src2_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src2_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src2_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src2_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src2_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src2_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src2_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src2_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src2_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src2_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src2_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src2_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src2_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src2_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src2_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src2_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src2_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src2_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src2_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src2_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src2_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src2_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src2_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src2_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src2_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src2_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src2_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src2_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src2_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src2_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src2_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src2_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src2_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src2_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src2_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src2_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src2_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src2_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src2_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src2_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src2_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src2_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src2_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src2_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src2_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src2_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src2_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src2_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src2_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src2_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src2_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src2_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src2_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src2_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src2_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src2_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src2_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src2_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src2_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src2_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src2_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src2_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src2_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src2_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src2_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src2_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src2_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src2_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src2_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src2_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src2_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src2_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src2_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src2_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src2_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src2_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src2_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src2_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src2_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src2_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src2_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src2_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src2_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src2_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src2_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src2_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src2_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src2_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src2_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src2_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src2_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src2_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src2_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src2_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src2_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src2_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src2_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src2_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src2_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src2_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src2_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src2_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src2_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src2_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src2_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src2_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src2_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src2_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src2_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src2_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src2_channel[0] <= <GND>
src2_channel[1] <= <GND>
src2_channel[2] <= <GND>
src2_channel[3] <= <GND>
src2_channel[4] <= <GND>
src2_channel[5] <= <GND>
src2_channel[6] <= <GND>
src2_channel[7] <= <GND>
src2_channel[8] <= <GND>
src2_channel[9] <= <GND>
src2_channel[10] <= <GND>
src2_channel[11] <= <GND>
src2_channel[12] <= <GND>
src2_channel[13] <= <GND>
src2_channel[14] <= <GND>
src2_channel[15] <= <GND>
src2_channel[16] <= <GND>
src2_channel[17] <= <GND>
src2_channel[18] <= <GND>
src2_channel[19] <= <GND>
src2_channel[20] <= <GND>
src2_channel[21] <= <GND>
src2_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src2_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src2_ready => sink_ready.IN1
src3_valid <= src3_valid.DB_MAX_OUTPUT_PORT_TYPE
src3_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src3_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src3_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src3_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src3_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src3_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src3_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src3_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src3_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src3_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src3_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src3_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src3_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src3_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src3_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src3_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src3_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src3_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src3_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src3_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src3_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src3_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src3_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src3_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src3_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src3_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src3_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src3_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src3_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src3_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src3_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src3_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src3_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src3_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src3_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src3_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src3_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src3_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src3_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src3_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src3_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src3_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src3_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src3_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src3_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src3_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src3_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src3_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src3_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src3_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src3_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src3_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src3_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src3_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src3_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src3_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src3_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src3_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src3_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src3_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src3_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src3_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src3_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src3_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src3_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src3_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src3_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src3_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src3_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src3_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src3_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src3_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src3_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src3_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src3_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src3_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src3_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src3_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src3_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src3_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src3_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src3_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src3_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src3_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src3_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src3_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src3_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src3_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src3_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src3_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src3_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src3_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src3_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src3_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src3_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src3_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src3_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src3_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src3_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src3_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src3_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src3_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src3_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src3_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src3_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src3_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src3_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src3_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src3_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src3_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src3_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src3_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src3_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src3_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src3_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src3_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src3_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src3_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src3_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src3_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src3_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src3_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src3_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src3_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src3_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src3_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src3_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src3_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src3_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src3_channel[0] <= <GND>
src3_channel[1] <= <GND>
src3_channel[2] <= <GND>
src3_channel[3] <= <GND>
src3_channel[4] <= <GND>
src3_channel[5] <= <GND>
src3_channel[6] <= <GND>
src3_channel[7] <= <GND>
src3_channel[8] <= <GND>
src3_channel[9] <= <GND>
src3_channel[10] <= <GND>
src3_channel[11] <= <GND>
src3_channel[12] <= <GND>
src3_channel[13] <= <GND>
src3_channel[14] <= <GND>
src3_channel[15] <= <GND>
src3_channel[16] <= <GND>
src3_channel[17] <= <GND>
src3_channel[18] <= <GND>
src3_channel[19] <= <GND>
src3_channel[20] <= <GND>
src3_channel[21] <= <GND>
src3_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src3_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src3_ready => sink_ready.IN1
src4_valid <= src4_valid.DB_MAX_OUTPUT_PORT_TYPE
src4_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src4_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src4_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src4_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src4_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src4_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src4_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src4_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src4_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src4_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src4_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src4_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src4_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src4_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src4_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src4_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src4_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src4_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src4_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src4_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src4_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src4_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src4_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src4_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src4_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src4_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src4_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src4_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src4_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src4_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src4_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src4_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src4_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src4_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src4_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src4_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src4_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src4_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src4_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src4_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src4_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src4_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src4_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src4_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src4_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src4_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src4_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src4_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src4_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src4_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src4_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src4_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src4_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src4_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src4_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src4_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src4_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src4_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src4_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src4_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src4_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src4_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src4_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src4_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src4_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src4_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src4_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src4_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src4_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src4_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src4_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src4_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src4_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src4_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src4_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src4_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src4_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src4_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src4_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src4_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src4_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src4_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src4_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src4_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src4_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src4_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src4_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src4_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src4_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src4_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src4_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src4_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src4_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src4_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src4_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src4_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src4_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src4_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src4_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src4_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src4_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src4_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src4_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src4_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src4_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src4_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src4_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src4_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src4_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src4_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src4_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src4_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src4_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src4_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src4_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src4_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src4_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src4_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src4_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src4_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src4_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src4_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src4_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src4_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src4_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src4_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src4_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src4_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src4_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src4_channel[0] <= <GND>
src4_channel[1] <= <GND>
src4_channel[2] <= <GND>
src4_channel[3] <= <GND>
src4_channel[4] <= <GND>
src4_channel[5] <= <GND>
src4_channel[6] <= <GND>
src4_channel[7] <= <GND>
src4_channel[8] <= <GND>
src4_channel[9] <= <GND>
src4_channel[10] <= <GND>
src4_channel[11] <= <GND>
src4_channel[12] <= <GND>
src4_channel[13] <= <GND>
src4_channel[14] <= <GND>
src4_channel[15] <= <GND>
src4_channel[16] <= <GND>
src4_channel[17] <= <GND>
src4_channel[18] <= <GND>
src4_channel[19] <= <GND>
src4_channel[20] <= <GND>
src4_channel[21] <= <GND>
src4_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src4_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src4_ready => sink_ready.IN1
src5_valid <= src5_valid.DB_MAX_OUTPUT_PORT_TYPE
src5_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src5_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src5_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src5_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src5_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src5_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src5_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src5_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src5_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src5_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src5_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src5_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src5_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src5_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src5_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src5_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src5_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src5_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src5_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src5_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src5_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src5_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src5_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src5_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src5_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src5_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src5_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src5_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src5_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src5_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src5_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src5_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src5_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src5_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src5_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src5_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src5_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src5_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src5_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src5_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src5_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src5_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src5_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src5_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src5_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src5_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src5_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src5_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src5_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src5_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src5_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src5_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src5_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src5_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src5_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src5_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src5_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src5_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src5_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src5_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src5_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src5_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src5_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src5_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src5_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src5_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src5_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src5_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src5_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src5_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src5_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src5_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src5_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src5_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src5_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src5_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src5_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src5_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src5_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src5_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src5_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src5_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src5_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src5_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src5_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src5_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src5_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src5_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src5_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src5_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src5_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src5_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src5_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src5_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src5_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src5_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src5_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src5_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src5_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src5_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src5_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src5_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src5_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src5_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src5_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src5_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src5_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src5_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src5_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src5_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src5_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src5_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src5_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src5_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src5_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src5_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src5_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src5_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src5_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src5_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src5_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src5_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src5_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src5_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src5_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src5_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src5_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src5_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src5_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src5_channel[0] <= <GND>
src5_channel[1] <= <GND>
src5_channel[2] <= <GND>
src5_channel[3] <= <GND>
src5_channel[4] <= <GND>
src5_channel[5] <= <GND>
src5_channel[6] <= <GND>
src5_channel[7] <= <GND>
src5_channel[8] <= <GND>
src5_channel[9] <= <GND>
src5_channel[10] <= <GND>
src5_channel[11] <= <GND>
src5_channel[12] <= <GND>
src5_channel[13] <= <GND>
src5_channel[14] <= <GND>
src5_channel[15] <= <GND>
src5_channel[16] <= <GND>
src5_channel[17] <= <GND>
src5_channel[18] <= <GND>
src5_channel[19] <= <GND>
src5_channel[20] <= <GND>
src5_channel[21] <= <GND>
src5_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src5_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src5_ready => sink_ready.IN1
src6_valid <= src6_valid.DB_MAX_OUTPUT_PORT_TYPE
src6_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src6_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src6_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src6_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src6_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src6_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src6_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src6_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src6_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src6_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src6_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src6_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src6_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src6_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src6_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src6_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src6_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src6_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src6_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src6_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src6_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src6_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src6_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src6_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src6_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src6_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src6_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src6_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src6_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src6_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src6_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src6_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src6_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src6_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src6_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src6_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src6_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src6_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src6_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src6_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src6_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src6_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src6_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src6_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src6_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src6_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src6_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src6_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src6_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src6_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src6_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src6_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src6_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src6_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src6_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src6_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src6_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src6_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src6_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src6_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src6_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src6_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src6_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src6_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src6_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src6_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src6_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src6_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src6_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src6_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src6_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src6_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src6_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src6_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src6_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src6_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src6_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src6_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src6_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src6_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src6_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src6_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src6_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src6_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src6_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src6_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src6_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src6_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src6_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src6_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src6_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src6_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src6_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src6_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src6_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src6_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src6_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src6_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src6_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src6_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src6_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src6_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src6_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src6_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src6_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src6_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src6_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src6_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src6_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src6_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src6_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src6_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src6_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src6_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src6_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src6_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src6_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src6_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src6_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src6_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src6_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src6_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src6_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src6_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src6_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src6_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src6_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src6_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src6_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src6_channel[0] <= <GND>
src6_channel[1] <= <GND>
src6_channel[2] <= <GND>
src6_channel[3] <= <GND>
src6_channel[4] <= <GND>
src6_channel[5] <= <GND>
src6_channel[6] <= <GND>
src6_channel[7] <= <GND>
src6_channel[8] <= <GND>
src6_channel[9] <= <GND>
src6_channel[10] <= <GND>
src6_channel[11] <= <GND>
src6_channel[12] <= <GND>
src6_channel[13] <= <GND>
src6_channel[14] <= <GND>
src6_channel[15] <= <GND>
src6_channel[16] <= <GND>
src6_channel[17] <= <GND>
src6_channel[18] <= <GND>
src6_channel[19] <= <GND>
src6_channel[20] <= <GND>
src6_channel[21] <= <GND>
src6_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src6_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src6_ready => sink_ready.IN1
src7_valid <= src7_valid.DB_MAX_OUTPUT_PORT_TYPE
src7_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src7_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src7_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src7_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src7_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src7_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src7_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src7_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src7_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src7_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src7_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src7_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src7_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src7_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src7_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src7_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src7_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src7_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src7_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src7_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src7_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src7_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src7_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src7_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src7_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src7_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src7_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src7_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src7_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src7_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src7_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src7_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src7_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src7_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src7_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src7_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src7_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src7_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src7_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src7_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src7_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src7_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src7_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src7_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src7_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src7_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src7_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src7_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src7_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src7_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src7_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src7_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src7_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src7_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src7_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src7_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src7_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src7_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src7_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src7_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src7_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src7_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src7_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src7_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src7_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src7_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src7_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src7_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src7_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src7_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src7_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src7_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src7_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src7_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src7_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src7_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src7_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src7_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src7_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src7_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src7_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src7_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src7_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src7_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src7_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src7_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src7_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src7_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src7_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src7_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src7_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src7_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src7_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src7_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src7_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src7_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src7_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src7_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src7_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src7_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src7_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src7_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src7_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src7_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src7_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src7_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src7_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src7_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src7_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src7_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src7_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src7_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src7_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src7_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src7_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src7_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src7_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src7_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src7_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src7_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src7_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src7_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src7_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src7_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src7_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src7_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src7_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src7_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src7_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src7_channel[0] <= <GND>
src7_channel[1] <= <GND>
src7_channel[2] <= <GND>
src7_channel[3] <= <GND>
src7_channel[4] <= <GND>
src7_channel[5] <= <GND>
src7_channel[6] <= <GND>
src7_channel[7] <= <GND>
src7_channel[8] <= <GND>
src7_channel[9] <= <GND>
src7_channel[10] <= <GND>
src7_channel[11] <= <GND>
src7_channel[12] <= <GND>
src7_channel[13] <= <GND>
src7_channel[14] <= <GND>
src7_channel[15] <= <GND>
src7_channel[16] <= <GND>
src7_channel[17] <= <GND>
src7_channel[18] <= <GND>
src7_channel[19] <= <GND>
src7_channel[20] <= <GND>
src7_channel[21] <= <GND>
src7_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src7_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src7_ready => sink_ready.IN1
src8_valid <= src8_valid.DB_MAX_OUTPUT_PORT_TYPE
src8_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src8_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src8_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src8_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src8_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src8_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src8_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src8_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src8_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src8_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src8_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src8_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src8_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src8_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src8_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src8_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src8_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src8_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src8_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src8_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src8_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src8_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src8_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src8_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src8_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src8_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src8_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src8_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src8_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src8_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src8_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src8_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src8_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src8_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src8_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src8_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src8_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src8_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src8_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src8_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src8_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src8_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src8_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src8_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src8_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src8_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src8_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src8_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src8_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src8_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src8_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src8_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src8_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src8_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src8_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src8_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src8_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src8_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src8_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src8_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src8_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src8_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src8_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src8_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src8_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src8_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src8_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src8_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src8_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src8_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src8_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src8_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src8_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src8_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src8_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src8_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src8_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src8_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src8_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src8_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src8_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src8_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src8_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src8_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src8_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src8_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src8_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src8_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src8_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src8_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src8_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src8_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src8_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src8_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src8_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src8_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src8_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src8_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src8_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src8_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src8_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src8_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src8_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src8_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src8_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src8_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src8_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src8_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src8_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src8_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src8_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src8_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src8_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src8_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src8_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src8_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src8_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src8_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src8_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src8_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src8_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src8_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src8_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src8_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src8_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src8_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src8_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src8_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src8_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src8_channel[0] <= <GND>
src8_channel[1] <= <GND>
src8_channel[2] <= <GND>
src8_channel[3] <= <GND>
src8_channel[4] <= <GND>
src8_channel[5] <= <GND>
src8_channel[6] <= <GND>
src8_channel[7] <= <GND>
src8_channel[8] <= <GND>
src8_channel[9] <= <GND>
src8_channel[10] <= <GND>
src8_channel[11] <= <GND>
src8_channel[12] <= <GND>
src8_channel[13] <= <GND>
src8_channel[14] <= <GND>
src8_channel[15] <= <GND>
src8_channel[16] <= <GND>
src8_channel[17] <= <GND>
src8_channel[18] <= <GND>
src8_channel[19] <= <GND>
src8_channel[20] <= <GND>
src8_channel[21] <= <GND>
src8_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src8_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src8_ready => sink_ready.IN1
src9_valid <= src9_valid.DB_MAX_OUTPUT_PORT_TYPE
src9_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src9_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src9_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src9_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src9_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src9_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src9_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src9_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src9_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src9_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src9_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src9_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src9_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src9_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src9_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src9_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src9_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src9_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src9_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src9_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src9_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src9_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src9_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src9_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src9_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src9_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src9_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src9_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src9_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src9_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src9_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src9_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src9_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src9_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src9_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src9_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src9_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src9_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src9_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src9_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src9_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src9_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src9_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src9_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src9_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src9_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src9_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src9_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src9_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src9_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src9_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src9_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src9_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src9_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src9_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src9_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src9_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src9_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src9_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src9_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src9_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src9_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src9_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src9_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src9_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src9_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src9_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src9_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src9_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src9_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src9_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src9_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src9_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src9_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src9_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src9_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src9_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src9_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src9_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src9_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src9_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src9_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src9_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src9_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src9_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src9_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src9_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src9_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src9_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src9_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src9_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src9_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src9_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src9_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src9_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src9_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src9_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src9_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src9_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src9_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src9_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src9_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src9_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src9_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src9_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src9_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src9_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src9_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src9_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src9_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src9_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src9_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src9_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src9_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src9_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src9_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src9_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src9_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src9_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src9_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src9_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src9_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src9_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src9_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src9_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src9_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src9_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src9_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src9_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src9_channel[0] <= <GND>
src9_channel[1] <= <GND>
src9_channel[2] <= <GND>
src9_channel[3] <= <GND>
src9_channel[4] <= <GND>
src9_channel[5] <= <GND>
src9_channel[6] <= <GND>
src9_channel[7] <= <GND>
src9_channel[8] <= <GND>
src9_channel[9] <= <GND>
src9_channel[10] <= <GND>
src9_channel[11] <= <GND>
src9_channel[12] <= <GND>
src9_channel[13] <= <GND>
src9_channel[14] <= <GND>
src9_channel[15] <= <GND>
src9_channel[16] <= <GND>
src9_channel[17] <= <GND>
src9_channel[18] <= <GND>
src9_channel[19] <= <GND>
src9_channel[20] <= <GND>
src9_channel[21] <= <GND>
src9_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src9_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src9_ready => sink_ready.IN1
src10_valid <= src10_valid.DB_MAX_OUTPUT_PORT_TYPE
src10_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src10_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src10_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src10_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src10_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src10_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src10_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src10_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src10_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src10_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src10_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src10_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src10_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src10_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src10_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src10_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src10_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src10_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src10_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src10_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src10_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src10_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src10_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src10_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src10_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src10_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src10_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src10_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src10_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src10_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src10_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src10_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src10_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src10_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src10_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src10_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src10_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src10_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src10_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src10_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src10_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src10_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src10_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src10_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src10_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src10_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src10_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src10_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src10_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src10_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src10_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src10_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src10_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src10_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src10_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src10_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src10_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src10_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src10_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src10_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src10_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src10_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src10_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src10_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src10_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src10_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src10_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src10_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src10_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src10_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src10_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src10_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src10_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src10_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src10_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src10_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src10_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src10_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src10_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src10_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src10_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src10_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src10_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src10_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src10_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src10_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src10_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src10_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src10_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src10_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src10_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src10_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src10_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src10_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src10_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src10_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src10_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src10_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src10_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src10_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src10_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src10_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src10_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src10_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src10_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src10_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src10_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src10_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src10_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src10_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src10_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src10_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src10_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src10_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src10_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src10_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src10_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src10_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src10_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src10_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src10_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src10_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src10_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src10_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src10_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src10_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src10_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src10_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src10_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src10_channel[0] <= <GND>
src10_channel[1] <= <GND>
src10_channel[2] <= <GND>
src10_channel[3] <= <GND>
src10_channel[4] <= <GND>
src10_channel[5] <= <GND>
src10_channel[6] <= <GND>
src10_channel[7] <= <GND>
src10_channel[8] <= <GND>
src10_channel[9] <= <GND>
src10_channel[10] <= <GND>
src10_channel[11] <= <GND>
src10_channel[12] <= <GND>
src10_channel[13] <= <GND>
src10_channel[14] <= <GND>
src10_channel[15] <= <GND>
src10_channel[16] <= <GND>
src10_channel[17] <= <GND>
src10_channel[18] <= <GND>
src10_channel[19] <= <GND>
src10_channel[20] <= <GND>
src10_channel[21] <= <GND>
src10_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src10_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src10_ready => sink_ready.IN1
src11_valid <= src11_valid.DB_MAX_OUTPUT_PORT_TYPE
src11_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src11_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src11_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src11_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src11_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src11_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src11_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src11_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src11_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src11_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src11_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src11_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src11_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src11_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src11_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src11_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src11_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src11_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src11_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src11_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src11_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src11_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src11_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src11_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src11_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src11_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src11_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src11_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src11_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src11_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src11_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src11_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src11_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src11_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src11_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src11_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src11_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src11_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src11_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src11_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src11_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src11_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src11_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src11_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src11_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src11_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src11_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src11_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src11_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src11_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src11_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src11_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src11_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src11_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src11_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src11_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src11_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src11_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src11_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src11_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src11_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src11_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src11_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src11_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src11_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src11_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src11_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src11_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src11_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src11_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src11_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src11_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src11_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src11_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src11_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src11_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src11_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src11_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src11_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src11_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src11_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src11_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src11_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src11_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src11_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src11_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src11_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src11_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src11_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src11_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src11_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src11_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src11_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src11_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src11_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src11_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src11_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src11_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src11_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src11_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src11_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src11_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src11_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src11_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src11_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src11_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src11_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src11_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src11_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src11_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src11_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src11_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src11_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src11_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src11_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src11_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src11_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src11_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src11_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src11_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src11_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src11_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src11_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src11_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src11_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src11_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src11_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src11_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src11_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src11_channel[0] <= <GND>
src11_channel[1] <= <GND>
src11_channel[2] <= <GND>
src11_channel[3] <= <GND>
src11_channel[4] <= <GND>
src11_channel[5] <= <GND>
src11_channel[6] <= <GND>
src11_channel[7] <= <GND>
src11_channel[8] <= <GND>
src11_channel[9] <= <GND>
src11_channel[10] <= <GND>
src11_channel[11] <= <GND>
src11_channel[12] <= <GND>
src11_channel[13] <= <GND>
src11_channel[14] <= <GND>
src11_channel[15] <= <GND>
src11_channel[16] <= <GND>
src11_channel[17] <= <GND>
src11_channel[18] <= <GND>
src11_channel[19] <= <GND>
src11_channel[20] <= <GND>
src11_channel[21] <= <GND>
src11_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src11_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src11_ready => sink_ready.IN1
src12_valid <= src12_valid.DB_MAX_OUTPUT_PORT_TYPE
src12_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src12_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src12_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src12_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src12_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src12_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src12_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src12_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src12_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src12_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src12_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src12_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src12_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src12_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src12_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src12_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src12_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src12_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src12_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src12_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src12_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src12_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src12_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src12_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src12_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src12_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src12_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src12_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src12_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src12_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src12_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src12_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src12_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src12_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src12_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src12_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src12_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src12_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src12_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src12_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src12_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src12_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src12_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src12_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src12_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src12_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src12_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src12_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src12_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src12_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src12_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src12_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src12_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src12_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src12_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src12_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src12_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src12_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src12_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src12_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src12_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src12_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src12_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src12_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src12_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src12_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src12_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src12_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src12_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src12_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src12_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src12_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src12_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src12_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src12_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src12_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src12_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src12_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src12_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src12_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src12_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src12_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src12_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src12_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src12_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src12_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src12_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src12_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src12_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src12_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src12_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src12_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src12_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src12_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src12_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src12_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src12_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src12_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src12_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src12_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src12_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src12_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src12_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src12_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src12_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src12_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src12_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src12_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src12_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src12_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src12_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src12_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src12_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src12_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src12_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src12_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src12_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src12_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src12_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src12_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src12_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src12_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src12_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src12_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src12_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src12_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src12_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src12_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src12_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src12_channel[0] <= <GND>
src12_channel[1] <= <GND>
src12_channel[2] <= <GND>
src12_channel[3] <= <GND>
src12_channel[4] <= <GND>
src12_channel[5] <= <GND>
src12_channel[6] <= <GND>
src12_channel[7] <= <GND>
src12_channel[8] <= <GND>
src12_channel[9] <= <GND>
src12_channel[10] <= <GND>
src12_channel[11] <= <GND>
src12_channel[12] <= <GND>
src12_channel[13] <= <GND>
src12_channel[14] <= <GND>
src12_channel[15] <= <GND>
src12_channel[16] <= <GND>
src12_channel[17] <= <GND>
src12_channel[18] <= <GND>
src12_channel[19] <= <GND>
src12_channel[20] <= <GND>
src12_channel[21] <= <GND>
src12_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src12_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src12_ready => sink_ready.IN1
src13_valid <= src13_valid.DB_MAX_OUTPUT_PORT_TYPE
src13_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src13_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src13_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src13_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src13_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src13_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src13_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src13_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src13_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src13_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src13_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src13_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src13_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src13_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src13_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src13_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src13_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src13_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src13_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src13_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src13_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src13_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src13_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src13_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src13_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src13_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src13_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src13_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src13_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src13_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src13_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src13_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src13_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src13_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src13_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src13_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src13_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src13_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src13_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src13_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src13_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src13_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src13_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src13_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src13_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src13_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src13_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src13_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src13_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src13_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src13_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src13_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src13_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src13_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src13_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src13_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src13_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src13_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src13_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src13_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src13_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src13_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src13_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src13_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src13_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src13_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src13_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src13_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src13_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src13_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src13_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src13_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src13_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src13_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src13_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src13_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src13_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src13_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src13_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src13_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src13_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src13_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src13_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src13_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src13_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src13_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src13_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src13_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src13_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src13_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src13_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src13_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src13_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src13_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src13_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src13_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src13_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src13_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src13_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src13_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src13_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src13_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src13_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src13_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src13_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src13_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src13_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src13_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src13_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src13_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src13_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src13_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src13_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src13_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src13_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src13_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src13_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src13_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src13_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src13_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src13_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src13_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src13_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src13_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src13_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src13_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src13_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src13_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src13_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src13_channel[0] <= <GND>
src13_channel[1] <= <GND>
src13_channel[2] <= <GND>
src13_channel[3] <= <GND>
src13_channel[4] <= <GND>
src13_channel[5] <= <GND>
src13_channel[6] <= <GND>
src13_channel[7] <= <GND>
src13_channel[8] <= <GND>
src13_channel[9] <= <GND>
src13_channel[10] <= <GND>
src13_channel[11] <= <GND>
src13_channel[12] <= <GND>
src13_channel[13] <= <GND>
src13_channel[14] <= <GND>
src13_channel[15] <= <GND>
src13_channel[16] <= <GND>
src13_channel[17] <= <GND>
src13_channel[18] <= <GND>
src13_channel[19] <= <GND>
src13_channel[20] <= <GND>
src13_channel[21] <= <GND>
src13_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src13_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src13_ready => sink_ready.IN1
src14_valid <= src14_valid.DB_MAX_OUTPUT_PORT_TYPE
src14_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src14_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src14_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src14_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src14_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src14_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src14_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src14_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src14_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src14_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src14_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src14_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src14_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src14_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src14_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src14_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src14_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src14_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src14_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src14_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src14_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src14_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src14_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src14_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src14_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src14_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src14_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src14_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src14_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src14_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src14_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src14_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src14_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src14_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src14_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src14_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src14_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src14_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src14_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src14_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src14_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src14_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src14_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src14_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src14_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src14_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src14_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src14_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src14_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src14_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src14_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src14_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src14_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src14_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src14_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src14_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src14_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src14_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src14_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src14_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src14_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src14_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src14_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src14_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src14_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src14_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src14_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src14_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src14_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src14_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src14_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src14_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src14_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src14_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src14_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src14_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src14_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src14_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src14_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src14_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src14_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src14_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src14_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src14_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src14_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src14_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src14_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src14_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src14_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src14_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src14_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src14_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src14_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src14_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src14_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src14_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src14_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src14_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src14_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src14_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src14_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src14_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src14_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src14_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src14_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src14_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src14_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src14_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src14_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src14_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src14_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src14_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src14_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src14_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src14_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src14_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src14_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src14_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src14_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src14_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src14_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src14_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src14_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src14_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src14_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src14_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src14_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src14_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src14_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src14_channel[0] <= <GND>
src14_channel[1] <= <GND>
src14_channel[2] <= <GND>
src14_channel[3] <= <GND>
src14_channel[4] <= <GND>
src14_channel[5] <= <GND>
src14_channel[6] <= <GND>
src14_channel[7] <= <GND>
src14_channel[8] <= <GND>
src14_channel[9] <= <GND>
src14_channel[10] <= <GND>
src14_channel[11] <= <GND>
src14_channel[12] <= <GND>
src14_channel[13] <= <GND>
src14_channel[14] <= <GND>
src14_channel[15] <= <GND>
src14_channel[16] <= <GND>
src14_channel[17] <= <GND>
src14_channel[18] <= <GND>
src14_channel[19] <= <GND>
src14_channel[20] <= <GND>
src14_channel[21] <= <GND>
src14_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src14_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src14_ready => sink_ready.IN1
src15_valid <= src15_valid.DB_MAX_OUTPUT_PORT_TYPE
src15_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src15_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src15_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src15_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src15_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src15_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src15_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src15_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src15_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src15_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src15_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src15_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src15_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src15_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src15_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src15_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src15_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src15_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src15_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src15_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src15_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src15_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src15_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src15_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src15_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src15_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src15_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src15_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src15_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src15_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src15_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src15_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src15_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src15_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src15_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src15_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src15_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src15_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src15_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src15_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src15_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src15_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src15_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src15_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src15_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src15_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src15_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src15_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src15_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src15_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src15_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src15_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src15_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src15_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src15_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src15_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src15_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src15_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src15_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src15_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src15_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src15_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src15_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src15_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src15_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src15_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src15_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src15_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src15_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src15_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src15_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src15_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src15_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src15_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src15_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src15_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src15_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src15_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src15_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src15_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src15_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src15_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src15_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src15_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src15_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src15_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src15_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src15_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src15_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src15_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src15_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src15_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src15_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src15_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src15_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src15_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src15_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src15_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src15_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src15_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src15_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src15_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src15_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src15_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src15_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src15_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src15_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src15_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src15_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src15_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src15_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src15_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src15_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src15_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src15_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src15_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src15_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src15_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src15_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src15_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src15_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src15_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src15_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src15_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src15_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src15_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src15_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src15_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src15_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src15_channel[0] <= <GND>
src15_channel[1] <= <GND>
src15_channel[2] <= <GND>
src15_channel[3] <= <GND>
src15_channel[4] <= <GND>
src15_channel[5] <= <GND>
src15_channel[6] <= <GND>
src15_channel[7] <= <GND>
src15_channel[8] <= <GND>
src15_channel[9] <= <GND>
src15_channel[10] <= <GND>
src15_channel[11] <= <GND>
src15_channel[12] <= <GND>
src15_channel[13] <= <GND>
src15_channel[14] <= <GND>
src15_channel[15] <= <GND>
src15_channel[16] <= <GND>
src15_channel[17] <= <GND>
src15_channel[18] <= <GND>
src15_channel[19] <= <GND>
src15_channel[20] <= <GND>
src15_channel[21] <= <GND>
src15_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src15_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src15_ready => sink_ready.IN1
src16_valid <= src16_valid.DB_MAX_OUTPUT_PORT_TYPE
src16_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src16_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src16_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src16_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src16_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src16_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src16_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src16_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src16_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src16_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src16_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src16_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src16_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src16_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src16_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src16_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src16_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src16_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src16_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src16_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src16_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src16_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src16_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src16_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src16_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src16_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src16_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src16_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src16_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src16_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src16_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src16_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src16_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src16_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src16_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src16_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src16_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src16_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src16_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src16_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src16_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src16_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src16_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src16_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src16_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src16_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src16_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src16_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src16_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src16_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src16_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src16_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src16_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src16_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src16_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src16_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src16_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src16_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src16_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src16_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src16_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src16_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src16_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src16_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src16_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src16_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src16_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src16_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src16_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src16_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src16_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src16_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src16_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src16_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src16_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src16_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src16_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src16_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src16_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src16_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src16_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src16_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src16_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src16_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src16_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src16_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src16_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src16_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src16_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src16_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src16_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src16_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src16_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src16_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src16_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src16_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src16_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src16_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src16_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src16_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src16_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src16_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src16_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src16_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src16_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src16_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src16_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src16_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src16_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src16_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src16_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src16_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src16_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src16_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src16_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src16_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src16_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src16_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src16_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src16_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src16_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src16_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src16_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src16_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src16_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src16_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src16_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src16_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src16_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src16_channel[0] <= <GND>
src16_channel[1] <= <GND>
src16_channel[2] <= <GND>
src16_channel[3] <= <GND>
src16_channel[4] <= <GND>
src16_channel[5] <= <GND>
src16_channel[6] <= <GND>
src16_channel[7] <= <GND>
src16_channel[8] <= <GND>
src16_channel[9] <= <GND>
src16_channel[10] <= <GND>
src16_channel[11] <= <GND>
src16_channel[12] <= <GND>
src16_channel[13] <= <GND>
src16_channel[14] <= <GND>
src16_channel[15] <= <GND>
src16_channel[16] <= <GND>
src16_channel[17] <= <GND>
src16_channel[18] <= <GND>
src16_channel[19] <= <GND>
src16_channel[20] <= <GND>
src16_channel[21] <= <GND>
src16_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src16_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src16_ready => sink_ready.IN1
src17_valid <= src17_valid.DB_MAX_OUTPUT_PORT_TYPE
src17_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src17_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src17_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src17_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src17_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src17_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src17_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src17_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src17_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src17_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src17_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src17_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src17_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src17_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src17_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src17_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src17_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src17_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src17_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src17_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src17_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src17_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src17_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src17_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src17_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src17_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src17_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src17_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src17_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src17_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src17_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src17_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src17_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src17_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src17_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src17_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src17_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src17_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src17_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src17_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src17_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src17_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src17_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src17_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src17_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src17_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src17_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src17_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src17_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src17_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src17_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src17_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src17_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src17_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src17_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src17_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src17_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src17_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src17_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src17_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src17_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src17_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src17_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src17_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src17_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src17_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src17_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src17_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src17_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src17_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src17_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src17_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src17_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src17_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src17_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src17_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src17_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src17_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src17_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src17_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src17_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src17_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src17_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src17_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src17_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src17_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src17_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src17_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src17_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src17_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src17_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src17_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src17_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src17_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src17_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src17_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src17_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src17_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src17_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src17_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src17_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src17_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src17_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src17_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src17_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src17_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src17_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src17_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src17_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src17_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src17_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src17_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src17_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src17_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src17_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src17_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src17_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src17_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src17_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src17_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src17_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src17_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src17_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src17_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src17_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src17_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src17_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src17_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src17_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src17_channel[0] <= <GND>
src17_channel[1] <= <GND>
src17_channel[2] <= <GND>
src17_channel[3] <= <GND>
src17_channel[4] <= <GND>
src17_channel[5] <= <GND>
src17_channel[6] <= <GND>
src17_channel[7] <= <GND>
src17_channel[8] <= <GND>
src17_channel[9] <= <GND>
src17_channel[10] <= <GND>
src17_channel[11] <= <GND>
src17_channel[12] <= <GND>
src17_channel[13] <= <GND>
src17_channel[14] <= <GND>
src17_channel[15] <= <GND>
src17_channel[16] <= <GND>
src17_channel[17] <= <GND>
src17_channel[18] <= <GND>
src17_channel[19] <= <GND>
src17_channel[20] <= <GND>
src17_channel[21] <= <GND>
src17_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src17_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src17_ready => sink_ready.IN1
src18_valid <= src18_valid.DB_MAX_OUTPUT_PORT_TYPE
src18_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src18_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src18_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src18_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src18_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src18_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src18_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src18_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src18_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src18_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src18_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src18_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src18_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src18_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src18_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src18_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src18_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src18_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src18_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src18_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src18_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src18_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src18_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src18_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src18_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src18_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src18_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src18_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src18_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src18_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src18_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src18_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src18_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src18_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src18_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src18_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src18_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src18_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src18_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src18_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src18_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src18_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src18_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src18_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src18_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src18_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src18_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src18_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src18_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src18_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src18_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src18_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src18_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src18_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src18_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src18_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src18_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src18_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src18_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src18_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src18_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src18_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src18_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src18_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src18_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src18_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src18_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src18_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src18_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src18_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src18_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src18_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src18_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src18_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src18_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src18_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src18_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src18_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src18_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src18_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src18_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src18_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src18_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src18_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src18_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src18_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src18_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src18_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src18_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src18_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src18_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src18_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src18_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src18_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src18_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src18_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src18_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src18_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src18_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src18_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src18_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src18_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src18_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src18_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src18_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src18_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src18_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src18_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src18_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src18_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src18_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src18_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src18_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src18_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src18_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src18_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src18_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src18_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src18_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src18_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src18_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src18_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src18_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src18_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src18_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src18_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src18_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src18_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src18_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src18_channel[0] <= <GND>
src18_channel[1] <= <GND>
src18_channel[2] <= <GND>
src18_channel[3] <= <GND>
src18_channel[4] <= <GND>
src18_channel[5] <= <GND>
src18_channel[6] <= <GND>
src18_channel[7] <= <GND>
src18_channel[8] <= <GND>
src18_channel[9] <= <GND>
src18_channel[10] <= <GND>
src18_channel[11] <= <GND>
src18_channel[12] <= <GND>
src18_channel[13] <= <GND>
src18_channel[14] <= <GND>
src18_channel[15] <= <GND>
src18_channel[16] <= <GND>
src18_channel[17] <= <GND>
src18_channel[18] <= <GND>
src18_channel[19] <= <GND>
src18_channel[20] <= <GND>
src18_channel[21] <= <GND>
src18_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src18_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src18_ready => sink_ready.IN1
src19_valid <= src19_valid.DB_MAX_OUTPUT_PORT_TYPE
src19_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src19_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src19_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src19_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src19_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src19_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src19_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src19_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src19_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src19_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src19_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src19_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src19_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src19_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src19_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src19_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src19_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src19_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src19_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src19_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src19_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src19_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src19_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src19_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src19_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src19_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src19_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src19_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src19_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src19_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src19_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src19_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src19_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src19_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src19_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src19_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src19_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src19_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src19_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src19_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src19_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src19_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src19_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src19_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src19_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src19_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src19_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src19_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src19_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src19_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src19_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src19_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src19_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src19_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src19_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src19_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src19_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src19_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src19_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src19_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src19_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src19_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src19_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src19_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src19_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src19_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src19_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src19_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src19_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src19_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src19_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src19_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src19_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src19_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src19_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src19_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src19_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src19_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src19_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src19_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src19_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src19_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src19_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src19_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src19_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src19_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src19_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src19_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src19_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src19_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src19_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src19_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src19_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src19_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src19_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src19_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src19_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src19_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src19_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src19_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src19_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src19_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src19_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src19_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src19_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src19_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src19_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src19_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src19_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src19_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src19_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src19_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src19_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src19_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src19_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src19_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src19_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src19_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src19_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src19_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src19_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src19_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src19_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src19_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src19_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src19_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src19_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src19_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src19_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src19_channel[0] <= <GND>
src19_channel[1] <= <GND>
src19_channel[2] <= <GND>
src19_channel[3] <= <GND>
src19_channel[4] <= <GND>
src19_channel[5] <= <GND>
src19_channel[6] <= <GND>
src19_channel[7] <= <GND>
src19_channel[8] <= <GND>
src19_channel[9] <= <GND>
src19_channel[10] <= <GND>
src19_channel[11] <= <GND>
src19_channel[12] <= <GND>
src19_channel[13] <= <GND>
src19_channel[14] <= <GND>
src19_channel[15] <= <GND>
src19_channel[16] <= <GND>
src19_channel[17] <= <GND>
src19_channel[18] <= <GND>
src19_channel[19] <= <GND>
src19_channel[20] <= <GND>
src19_channel[21] <= <GND>
src19_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src19_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src19_ready => sink_ready.IN1
src20_valid <= src20_valid.DB_MAX_OUTPUT_PORT_TYPE
src20_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src20_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src20_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src20_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src20_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src20_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src20_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src20_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src20_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src20_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src20_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src20_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src20_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src20_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src20_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src20_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src20_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src20_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src20_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src20_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src20_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src20_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src20_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src20_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src20_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src20_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src20_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src20_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src20_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src20_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src20_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src20_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src20_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src20_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src20_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src20_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src20_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src20_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src20_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src20_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src20_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src20_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src20_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src20_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src20_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src20_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src20_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src20_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src20_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src20_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src20_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src20_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src20_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src20_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src20_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src20_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src20_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src20_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src20_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src20_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src20_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src20_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src20_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src20_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src20_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src20_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src20_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src20_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src20_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src20_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src20_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src20_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src20_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src20_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src20_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src20_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src20_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src20_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src20_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src20_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src20_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src20_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src20_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src20_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src20_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src20_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src20_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src20_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src20_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src20_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src20_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src20_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src20_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src20_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src20_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src20_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src20_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src20_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src20_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src20_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src20_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src20_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src20_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src20_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src20_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src20_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src20_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src20_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src20_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src20_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src20_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src20_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src20_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src20_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src20_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src20_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src20_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src20_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src20_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src20_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src20_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src20_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src20_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src20_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src20_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src20_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src20_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src20_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src20_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src20_channel[0] <= <GND>
src20_channel[1] <= <GND>
src20_channel[2] <= <GND>
src20_channel[3] <= <GND>
src20_channel[4] <= <GND>
src20_channel[5] <= <GND>
src20_channel[6] <= <GND>
src20_channel[7] <= <GND>
src20_channel[8] <= <GND>
src20_channel[9] <= <GND>
src20_channel[10] <= <GND>
src20_channel[11] <= <GND>
src20_channel[12] <= <GND>
src20_channel[13] <= <GND>
src20_channel[14] <= <GND>
src20_channel[15] <= <GND>
src20_channel[16] <= <GND>
src20_channel[17] <= <GND>
src20_channel[18] <= <GND>
src20_channel[19] <= <GND>
src20_channel[20] <= <GND>
src20_channel[21] <= <GND>
src20_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src20_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src20_ready => sink_ready.IN1
src21_valid <= src21_valid.DB_MAX_OUTPUT_PORT_TYPE
src21_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src21_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src21_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src21_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src21_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src21_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src21_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src21_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src21_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src21_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src21_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src21_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src21_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src21_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src21_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src21_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src21_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src21_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src21_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src21_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src21_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src21_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src21_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src21_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src21_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src21_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src21_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src21_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src21_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src21_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src21_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src21_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src21_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src21_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src21_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src21_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src21_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src21_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src21_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src21_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src21_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src21_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src21_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src21_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src21_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src21_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src21_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src21_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src21_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src21_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src21_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src21_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src21_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src21_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src21_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src21_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src21_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src21_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src21_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src21_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src21_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src21_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src21_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src21_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src21_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src21_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src21_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src21_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src21_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src21_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src21_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src21_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src21_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src21_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src21_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src21_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src21_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src21_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src21_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src21_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src21_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src21_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src21_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src21_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src21_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src21_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src21_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src21_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src21_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src21_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src21_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src21_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src21_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src21_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src21_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src21_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src21_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src21_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src21_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src21_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src21_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src21_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src21_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src21_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src21_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src21_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src21_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src21_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src21_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src21_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src21_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src21_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src21_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src21_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src21_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src21_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src21_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src21_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src21_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src21_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src21_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src21_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src21_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src21_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src21_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src21_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src21_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src21_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src21_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src21_channel[0] <= <GND>
src21_channel[1] <= <GND>
src21_channel[2] <= <GND>
src21_channel[3] <= <GND>
src21_channel[4] <= <GND>
src21_channel[5] <= <GND>
src21_channel[6] <= <GND>
src21_channel[7] <= <GND>
src21_channel[8] <= <GND>
src21_channel[9] <= <GND>
src21_channel[10] <= <GND>
src21_channel[11] <= <GND>
src21_channel[12] <= <GND>
src21_channel[13] <= <GND>
src21_channel[14] <= <GND>
src21_channel[15] <= <GND>
src21_channel[16] <= <GND>
src21_channel[17] <= <GND>
src21_channel[18] <= <GND>
src21_channel[19] <= <GND>
src21_channel[20] <= <GND>
src21_channel[21] <= <GND>
src21_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src21_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src21_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_demux:cmd_demux_001
sink_valid[0] => src0_valid.IN0
sink_valid[1] => src1_valid.IN0
sink_valid[2] => src2_valid.IN0
sink_valid[3] => src3_valid.IN0
sink_valid[4] => src4_valid.IN0
sink_valid[5] => src5_valid.IN0
sink_valid[6] => src6_valid.IN0
sink_valid[7] => src7_valid.IN0
sink_valid[8] => src8_valid.IN0
sink_valid[9] => src9_valid.IN0
sink_valid[10] => src10_valid.IN0
sink_valid[11] => src11_valid.IN0
sink_valid[12] => src12_valid.IN0
sink_valid[13] => src13_valid.IN0
sink_valid[14] => src14_valid.IN0
sink_valid[15] => src15_valid.IN0
sink_valid[16] => src16_valid.IN0
sink_valid[17] => src17_valid.IN0
sink_valid[18] => src18_valid.IN0
sink_valid[19] => src19_valid.IN0
sink_valid[20] => src20_valid.IN0
sink_valid[21] => src21_valid.IN0
sink_data[0] => src21_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src2_data[0].DATAIN
sink_data[0] => src3_data[0].DATAIN
sink_data[0] => src4_data[0].DATAIN
sink_data[0] => src5_data[0].DATAIN
sink_data[0] => src6_data[0].DATAIN
sink_data[0] => src7_data[0].DATAIN
sink_data[0] => src8_data[0].DATAIN
sink_data[0] => src9_data[0].DATAIN
sink_data[0] => src10_data[0].DATAIN
sink_data[0] => src11_data[0].DATAIN
sink_data[0] => src12_data[0].DATAIN
sink_data[0] => src13_data[0].DATAIN
sink_data[0] => src14_data[0].DATAIN
sink_data[0] => src15_data[0].DATAIN
sink_data[0] => src16_data[0].DATAIN
sink_data[0] => src17_data[0].DATAIN
sink_data[0] => src18_data[0].DATAIN
sink_data[0] => src19_data[0].DATAIN
sink_data[0] => src20_data[0].DATAIN
sink_data[1] => src21_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src2_data[1].DATAIN
sink_data[1] => src3_data[1].DATAIN
sink_data[1] => src4_data[1].DATAIN
sink_data[1] => src5_data[1].DATAIN
sink_data[1] => src6_data[1].DATAIN
sink_data[1] => src7_data[1].DATAIN
sink_data[1] => src8_data[1].DATAIN
sink_data[1] => src9_data[1].DATAIN
sink_data[1] => src10_data[1].DATAIN
sink_data[1] => src11_data[1].DATAIN
sink_data[1] => src12_data[1].DATAIN
sink_data[1] => src13_data[1].DATAIN
sink_data[1] => src14_data[1].DATAIN
sink_data[1] => src15_data[1].DATAIN
sink_data[1] => src16_data[1].DATAIN
sink_data[1] => src17_data[1].DATAIN
sink_data[1] => src18_data[1].DATAIN
sink_data[1] => src19_data[1].DATAIN
sink_data[1] => src20_data[1].DATAIN
sink_data[2] => src21_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src2_data[2].DATAIN
sink_data[2] => src3_data[2].DATAIN
sink_data[2] => src4_data[2].DATAIN
sink_data[2] => src5_data[2].DATAIN
sink_data[2] => src6_data[2].DATAIN
sink_data[2] => src7_data[2].DATAIN
sink_data[2] => src8_data[2].DATAIN
sink_data[2] => src9_data[2].DATAIN
sink_data[2] => src10_data[2].DATAIN
sink_data[2] => src11_data[2].DATAIN
sink_data[2] => src12_data[2].DATAIN
sink_data[2] => src13_data[2].DATAIN
sink_data[2] => src14_data[2].DATAIN
sink_data[2] => src15_data[2].DATAIN
sink_data[2] => src16_data[2].DATAIN
sink_data[2] => src17_data[2].DATAIN
sink_data[2] => src18_data[2].DATAIN
sink_data[2] => src19_data[2].DATAIN
sink_data[2] => src20_data[2].DATAIN
sink_data[3] => src21_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src2_data[3].DATAIN
sink_data[3] => src3_data[3].DATAIN
sink_data[3] => src4_data[3].DATAIN
sink_data[3] => src5_data[3].DATAIN
sink_data[3] => src6_data[3].DATAIN
sink_data[3] => src7_data[3].DATAIN
sink_data[3] => src8_data[3].DATAIN
sink_data[3] => src9_data[3].DATAIN
sink_data[3] => src10_data[3].DATAIN
sink_data[3] => src11_data[3].DATAIN
sink_data[3] => src12_data[3].DATAIN
sink_data[3] => src13_data[3].DATAIN
sink_data[3] => src14_data[3].DATAIN
sink_data[3] => src15_data[3].DATAIN
sink_data[3] => src16_data[3].DATAIN
sink_data[3] => src17_data[3].DATAIN
sink_data[3] => src18_data[3].DATAIN
sink_data[3] => src19_data[3].DATAIN
sink_data[3] => src20_data[3].DATAIN
sink_data[4] => src21_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src2_data[4].DATAIN
sink_data[4] => src3_data[4].DATAIN
sink_data[4] => src4_data[4].DATAIN
sink_data[4] => src5_data[4].DATAIN
sink_data[4] => src6_data[4].DATAIN
sink_data[4] => src7_data[4].DATAIN
sink_data[4] => src8_data[4].DATAIN
sink_data[4] => src9_data[4].DATAIN
sink_data[4] => src10_data[4].DATAIN
sink_data[4] => src11_data[4].DATAIN
sink_data[4] => src12_data[4].DATAIN
sink_data[4] => src13_data[4].DATAIN
sink_data[4] => src14_data[4].DATAIN
sink_data[4] => src15_data[4].DATAIN
sink_data[4] => src16_data[4].DATAIN
sink_data[4] => src17_data[4].DATAIN
sink_data[4] => src18_data[4].DATAIN
sink_data[4] => src19_data[4].DATAIN
sink_data[4] => src20_data[4].DATAIN
sink_data[5] => src21_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src2_data[5].DATAIN
sink_data[5] => src3_data[5].DATAIN
sink_data[5] => src4_data[5].DATAIN
sink_data[5] => src5_data[5].DATAIN
sink_data[5] => src6_data[5].DATAIN
sink_data[5] => src7_data[5].DATAIN
sink_data[5] => src8_data[5].DATAIN
sink_data[5] => src9_data[5].DATAIN
sink_data[5] => src10_data[5].DATAIN
sink_data[5] => src11_data[5].DATAIN
sink_data[5] => src12_data[5].DATAIN
sink_data[5] => src13_data[5].DATAIN
sink_data[5] => src14_data[5].DATAIN
sink_data[5] => src15_data[5].DATAIN
sink_data[5] => src16_data[5].DATAIN
sink_data[5] => src17_data[5].DATAIN
sink_data[5] => src18_data[5].DATAIN
sink_data[5] => src19_data[5].DATAIN
sink_data[5] => src20_data[5].DATAIN
sink_data[6] => src21_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src2_data[6].DATAIN
sink_data[6] => src3_data[6].DATAIN
sink_data[6] => src4_data[6].DATAIN
sink_data[6] => src5_data[6].DATAIN
sink_data[6] => src6_data[6].DATAIN
sink_data[6] => src7_data[6].DATAIN
sink_data[6] => src8_data[6].DATAIN
sink_data[6] => src9_data[6].DATAIN
sink_data[6] => src10_data[6].DATAIN
sink_data[6] => src11_data[6].DATAIN
sink_data[6] => src12_data[6].DATAIN
sink_data[6] => src13_data[6].DATAIN
sink_data[6] => src14_data[6].DATAIN
sink_data[6] => src15_data[6].DATAIN
sink_data[6] => src16_data[6].DATAIN
sink_data[6] => src17_data[6].DATAIN
sink_data[6] => src18_data[6].DATAIN
sink_data[6] => src19_data[6].DATAIN
sink_data[6] => src20_data[6].DATAIN
sink_data[7] => src21_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src2_data[7].DATAIN
sink_data[7] => src3_data[7].DATAIN
sink_data[7] => src4_data[7].DATAIN
sink_data[7] => src5_data[7].DATAIN
sink_data[7] => src6_data[7].DATAIN
sink_data[7] => src7_data[7].DATAIN
sink_data[7] => src8_data[7].DATAIN
sink_data[7] => src9_data[7].DATAIN
sink_data[7] => src10_data[7].DATAIN
sink_data[7] => src11_data[7].DATAIN
sink_data[7] => src12_data[7].DATAIN
sink_data[7] => src13_data[7].DATAIN
sink_data[7] => src14_data[7].DATAIN
sink_data[7] => src15_data[7].DATAIN
sink_data[7] => src16_data[7].DATAIN
sink_data[7] => src17_data[7].DATAIN
sink_data[7] => src18_data[7].DATAIN
sink_data[7] => src19_data[7].DATAIN
sink_data[7] => src20_data[7].DATAIN
sink_data[8] => src21_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src2_data[8].DATAIN
sink_data[8] => src3_data[8].DATAIN
sink_data[8] => src4_data[8].DATAIN
sink_data[8] => src5_data[8].DATAIN
sink_data[8] => src6_data[8].DATAIN
sink_data[8] => src7_data[8].DATAIN
sink_data[8] => src8_data[8].DATAIN
sink_data[8] => src9_data[8].DATAIN
sink_data[8] => src10_data[8].DATAIN
sink_data[8] => src11_data[8].DATAIN
sink_data[8] => src12_data[8].DATAIN
sink_data[8] => src13_data[8].DATAIN
sink_data[8] => src14_data[8].DATAIN
sink_data[8] => src15_data[8].DATAIN
sink_data[8] => src16_data[8].DATAIN
sink_data[8] => src17_data[8].DATAIN
sink_data[8] => src18_data[8].DATAIN
sink_data[8] => src19_data[8].DATAIN
sink_data[8] => src20_data[8].DATAIN
sink_data[9] => src21_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src2_data[9].DATAIN
sink_data[9] => src3_data[9].DATAIN
sink_data[9] => src4_data[9].DATAIN
sink_data[9] => src5_data[9].DATAIN
sink_data[9] => src6_data[9].DATAIN
sink_data[9] => src7_data[9].DATAIN
sink_data[9] => src8_data[9].DATAIN
sink_data[9] => src9_data[9].DATAIN
sink_data[9] => src10_data[9].DATAIN
sink_data[9] => src11_data[9].DATAIN
sink_data[9] => src12_data[9].DATAIN
sink_data[9] => src13_data[9].DATAIN
sink_data[9] => src14_data[9].DATAIN
sink_data[9] => src15_data[9].DATAIN
sink_data[9] => src16_data[9].DATAIN
sink_data[9] => src17_data[9].DATAIN
sink_data[9] => src18_data[9].DATAIN
sink_data[9] => src19_data[9].DATAIN
sink_data[9] => src20_data[9].DATAIN
sink_data[10] => src21_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src2_data[10].DATAIN
sink_data[10] => src3_data[10].DATAIN
sink_data[10] => src4_data[10].DATAIN
sink_data[10] => src5_data[10].DATAIN
sink_data[10] => src6_data[10].DATAIN
sink_data[10] => src7_data[10].DATAIN
sink_data[10] => src8_data[10].DATAIN
sink_data[10] => src9_data[10].DATAIN
sink_data[10] => src10_data[10].DATAIN
sink_data[10] => src11_data[10].DATAIN
sink_data[10] => src12_data[10].DATAIN
sink_data[10] => src13_data[10].DATAIN
sink_data[10] => src14_data[10].DATAIN
sink_data[10] => src15_data[10].DATAIN
sink_data[10] => src16_data[10].DATAIN
sink_data[10] => src17_data[10].DATAIN
sink_data[10] => src18_data[10].DATAIN
sink_data[10] => src19_data[10].DATAIN
sink_data[10] => src20_data[10].DATAIN
sink_data[11] => src21_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src2_data[11].DATAIN
sink_data[11] => src3_data[11].DATAIN
sink_data[11] => src4_data[11].DATAIN
sink_data[11] => src5_data[11].DATAIN
sink_data[11] => src6_data[11].DATAIN
sink_data[11] => src7_data[11].DATAIN
sink_data[11] => src8_data[11].DATAIN
sink_data[11] => src9_data[11].DATAIN
sink_data[11] => src10_data[11].DATAIN
sink_data[11] => src11_data[11].DATAIN
sink_data[11] => src12_data[11].DATAIN
sink_data[11] => src13_data[11].DATAIN
sink_data[11] => src14_data[11].DATAIN
sink_data[11] => src15_data[11].DATAIN
sink_data[11] => src16_data[11].DATAIN
sink_data[11] => src17_data[11].DATAIN
sink_data[11] => src18_data[11].DATAIN
sink_data[11] => src19_data[11].DATAIN
sink_data[11] => src20_data[11].DATAIN
sink_data[12] => src21_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src2_data[12].DATAIN
sink_data[12] => src3_data[12].DATAIN
sink_data[12] => src4_data[12].DATAIN
sink_data[12] => src5_data[12].DATAIN
sink_data[12] => src6_data[12].DATAIN
sink_data[12] => src7_data[12].DATAIN
sink_data[12] => src8_data[12].DATAIN
sink_data[12] => src9_data[12].DATAIN
sink_data[12] => src10_data[12].DATAIN
sink_data[12] => src11_data[12].DATAIN
sink_data[12] => src12_data[12].DATAIN
sink_data[12] => src13_data[12].DATAIN
sink_data[12] => src14_data[12].DATAIN
sink_data[12] => src15_data[12].DATAIN
sink_data[12] => src16_data[12].DATAIN
sink_data[12] => src17_data[12].DATAIN
sink_data[12] => src18_data[12].DATAIN
sink_data[12] => src19_data[12].DATAIN
sink_data[12] => src20_data[12].DATAIN
sink_data[13] => src21_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src2_data[13].DATAIN
sink_data[13] => src3_data[13].DATAIN
sink_data[13] => src4_data[13].DATAIN
sink_data[13] => src5_data[13].DATAIN
sink_data[13] => src6_data[13].DATAIN
sink_data[13] => src7_data[13].DATAIN
sink_data[13] => src8_data[13].DATAIN
sink_data[13] => src9_data[13].DATAIN
sink_data[13] => src10_data[13].DATAIN
sink_data[13] => src11_data[13].DATAIN
sink_data[13] => src12_data[13].DATAIN
sink_data[13] => src13_data[13].DATAIN
sink_data[13] => src14_data[13].DATAIN
sink_data[13] => src15_data[13].DATAIN
sink_data[13] => src16_data[13].DATAIN
sink_data[13] => src17_data[13].DATAIN
sink_data[13] => src18_data[13].DATAIN
sink_data[13] => src19_data[13].DATAIN
sink_data[13] => src20_data[13].DATAIN
sink_data[14] => src21_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src2_data[14].DATAIN
sink_data[14] => src3_data[14].DATAIN
sink_data[14] => src4_data[14].DATAIN
sink_data[14] => src5_data[14].DATAIN
sink_data[14] => src6_data[14].DATAIN
sink_data[14] => src7_data[14].DATAIN
sink_data[14] => src8_data[14].DATAIN
sink_data[14] => src9_data[14].DATAIN
sink_data[14] => src10_data[14].DATAIN
sink_data[14] => src11_data[14].DATAIN
sink_data[14] => src12_data[14].DATAIN
sink_data[14] => src13_data[14].DATAIN
sink_data[14] => src14_data[14].DATAIN
sink_data[14] => src15_data[14].DATAIN
sink_data[14] => src16_data[14].DATAIN
sink_data[14] => src17_data[14].DATAIN
sink_data[14] => src18_data[14].DATAIN
sink_data[14] => src19_data[14].DATAIN
sink_data[14] => src20_data[14].DATAIN
sink_data[15] => src21_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src2_data[15].DATAIN
sink_data[15] => src3_data[15].DATAIN
sink_data[15] => src4_data[15].DATAIN
sink_data[15] => src5_data[15].DATAIN
sink_data[15] => src6_data[15].DATAIN
sink_data[15] => src7_data[15].DATAIN
sink_data[15] => src8_data[15].DATAIN
sink_data[15] => src9_data[15].DATAIN
sink_data[15] => src10_data[15].DATAIN
sink_data[15] => src11_data[15].DATAIN
sink_data[15] => src12_data[15].DATAIN
sink_data[15] => src13_data[15].DATAIN
sink_data[15] => src14_data[15].DATAIN
sink_data[15] => src15_data[15].DATAIN
sink_data[15] => src16_data[15].DATAIN
sink_data[15] => src17_data[15].DATAIN
sink_data[15] => src18_data[15].DATAIN
sink_data[15] => src19_data[15].DATAIN
sink_data[15] => src20_data[15].DATAIN
sink_data[16] => src21_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src2_data[16].DATAIN
sink_data[16] => src3_data[16].DATAIN
sink_data[16] => src4_data[16].DATAIN
sink_data[16] => src5_data[16].DATAIN
sink_data[16] => src6_data[16].DATAIN
sink_data[16] => src7_data[16].DATAIN
sink_data[16] => src8_data[16].DATAIN
sink_data[16] => src9_data[16].DATAIN
sink_data[16] => src10_data[16].DATAIN
sink_data[16] => src11_data[16].DATAIN
sink_data[16] => src12_data[16].DATAIN
sink_data[16] => src13_data[16].DATAIN
sink_data[16] => src14_data[16].DATAIN
sink_data[16] => src15_data[16].DATAIN
sink_data[16] => src16_data[16].DATAIN
sink_data[16] => src17_data[16].DATAIN
sink_data[16] => src18_data[16].DATAIN
sink_data[16] => src19_data[16].DATAIN
sink_data[16] => src20_data[16].DATAIN
sink_data[17] => src21_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src2_data[17].DATAIN
sink_data[17] => src3_data[17].DATAIN
sink_data[17] => src4_data[17].DATAIN
sink_data[17] => src5_data[17].DATAIN
sink_data[17] => src6_data[17].DATAIN
sink_data[17] => src7_data[17].DATAIN
sink_data[17] => src8_data[17].DATAIN
sink_data[17] => src9_data[17].DATAIN
sink_data[17] => src10_data[17].DATAIN
sink_data[17] => src11_data[17].DATAIN
sink_data[17] => src12_data[17].DATAIN
sink_data[17] => src13_data[17].DATAIN
sink_data[17] => src14_data[17].DATAIN
sink_data[17] => src15_data[17].DATAIN
sink_data[17] => src16_data[17].DATAIN
sink_data[17] => src17_data[17].DATAIN
sink_data[17] => src18_data[17].DATAIN
sink_data[17] => src19_data[17].DATAIN
sink_data[17] => src20_data[17].DATAIN
sink_data[18] => src21_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src2_data[18].DATAIN
sink_data[18] => src3_data[18].DATAIN
sink_data[18] => src4_data[18].DATAIN
sink_data[18] => src5_data[18].DATAIN
sink_data[18] => src6_data[18].DATAIN
sink_data[18] => src7_data[18].DATAIN
sink_data[18] => src8_data[18].DATAIN
sink_data[18] => src9_data[18].DATAIN
sink_data[18] => src10_data[18].DATAIN
sink_data[18] => src11_data[18].DATAIN
sink_data[18] => src12_data[18].DATAIN
sink_data[18] => src13_data[18].DATAIN
sink_data[18] => src14_data[18].DATAIN
sink_data[18] => src15_data[18].DATAIN
sink_data[18] => src16_data[18].DATAIN
sink_data[18] => src17_data[18].DATAIN
sink_data[18] => src18_data[18].DATAIN
sink_data[18] => src19_data[18].DATAIN
sink_data[18] => src20_data[18].DATAIN
sink_data[19] => src21_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src2_data[19].DATAIN
sink_data[19] => src3_data[19].DATAIN
sink_data[19] => src4_data[19].DATAIN
sink_data[19] => src5_data[19].DATAIN
sink_data[19] => src6_data[19].DATAIN
sink_data[19] => src7_data[19].DATAIN
sink_data[19] => src8_data[19].DATAIN
sink_data[19] => src9_data[19].DATAIN
sink_data[19] => src10_data[19].DATAIN
sink_data[19] => src11_data[19].DATAIN
sink_data[19] => src12_data[19].DATAIN
sink_data[19] => src13_data[19].DATAIN
sink_data[19] => src14_data[19].DATAIN
sink_data[19] => src15_data[19].DATAIN
sink_data[19] => src16_data[19].DATAIN
sink_data[19] => src17_data[19].DATAIN
sink_data[19] => src18_data[19].DATAIN
sink_data[19] => src19_data[19].DATAIN
sink_data[19] => src20_data[19].DATAIN
sink_data[20] => src21_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src2_data[20].DATAIN
sink_data[20] => src3_data[20].DATAIN
sink_data[20] => src4_data[20].DATAIN
sink_data[20] => src5_data[20].DATAIN
sink_data[20] => src6_data[20].DATAIN
sink_data[20] => src7_data[20].DATAIN
sink_data[20] => src8_data[20].DATAIN
sink_data[20] => src9_data[20].DATAIN
sink_data[20] => src10_data[20].DATAIN
sink_data[20] => src11_data[20].DATAIN
sink_data[20] => src12_data[20].DATAIN
sink_data[20] => src13_data[20].DATAIN
sink_data[20] => src14_data[20].DATAIN
sink_data[20] => src15_data[20].DATAIN
sink_data[20] => src16_data[20].DATAIN
sink_data[20] => src17_data[20].DATAIN
sink_data[20] => src18_data[20].DATAIN
sink_data[20] => src19_data[20].DATAIN
sink_data[20] => src20_data[20].DATAIN
sink_data[21] => src21_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src2_data[21].DATAIN
sink_data[21] => src3_data[21].DATAIN
sink_data[21] => src4_data[21].DATAIN
sink_data[21] => src5_data[21].DATAIN
sink_data[21] => src6_data[21].DATAIN
sink_data[21] => src7_data[21].DATAIN
sink_data[21] => src8_data[21].DATAIN
sink_data[21] => src9_data[21].DATAIN
sink_data[21] => src10_data[21].DATAIN
sink_data[21] => src11_data[21].DATAIN
sink_data[21] => src12_data[21].DATAIN
sink_data[21] => src13_data[21].DATAIN
sink_data[21] => src14_data[21].DATAIN
sink_data[21] => src15_data[21].DATAIN
sink_data[21] => src16_data[21].DATAIN
sink_data[21] => src17_data[21].DATAIN
sink_data[21] => src18_data[21].DATAIN
sink_data[21] => src19_data[21].DATAIN
sink_data[21] => src20_data[21].DATAIN
sink_data[22] => src21_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src2_data[22].DATAIN
sink_data[22] => src3_data[22].DATAIN
sink_data[22] => src4_data[22].DATAIN
sink_data[22] => src5_data[22].DATAIN
sink_data[22] => src6_data[22].DATAIN
sink_data[22] => src7_data[22].DATAIN
sink_data[22] => src8_data[22].DATAIN
sink_data[22] => src9_data[22].DATAIN
sink_data[22] => src10_data[22].DATAIN
sink_data[22] => src11_data[22].DATAIN
sink_data[22] => src12_data[22].DATAIN
sink_data[22] => src13_data[22].DATAIN
sink_data[22] => src14_data[22].DATAIN
sink_data[22] => src15_data[22].DATAIN
sink_data[22] => src16_data[22].DATAIN
sink_data[22] => src17_data[22].DATAIN
sink_data[22] => src18_data[22].DATAIN
sink_data[22] => src19_data[22].DATAIN
sink_data[22] => src20_data[22].DATAIN
sink_data[23] => src21_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src2_data[23].DATAIN
sink_data[23] => src3_data[23].DATAIN
sink_data[23] => src4_data[23].DATAIN
sink_data[23] => src5_data[23].DATAIN
sink_data[23] => src6_data[23].DATAIN
sink_data[23] => src7_data[23].DATAIN
sink_data[23] => src8_data[23].DATAIN
sink_data[23] => src9_data[23].DATAIN
sink_data[23] => src10_data[23].DATAIN
sink_data[23] => src11_data[23].DATAIN
sink_data[23] => src12_data[23].DATAIN
sink_data[23] => src13_data[23].DATAIN
sink_data[23] => src14_data[23].DATAIN
sink_data[23] => src15_data[23].DATAIN
sink_data[23] => src16_data[23].DATAIN
sink_data[23] => src17_data[23].DATAIN
sink_data[23] => src18_data[23].DATAIN
sink_data[23] => src19_data[23].DATAIN
sink_data[23] => src20_data[23].DATAIN
sink_data[24] => src21_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src2_data[24].DATAIN
sink_data[24] => src3_data[24].DATAIN
sink_data[24] => src4_data[24].DATAIN
sink_data[24] => src5_data[24].DATAIN
sink_data[24] => src6_data[24].DATAIN
sink_data[24] => src7_data[24].DATAIN
sink_data[24] => src8_data[24].DATAIN
sink_data[24] => src9_data[24].DATAIN
sink_data[24] => src10_data[24].DATAIN
sink_data[24] => src11_data[24].DATAIN
sink_data[24] => src12_data[24].DATAIN
sink_data[24] => src13_data[24].DATAIN
sink_data[24] => src14_data[24].DATAIN
sink_data[24] => src15_data[24].DATAIN
sink_data[24] => src16_data[24].DATAIN
sink_data[24] => src17_data[24].DATAIN
sink_data[24] => src18_data[24].DATAIN
sink_data[24] => src19_data[24].DATAIN
sink_data[24] => src20_data[24].DATAIN
sink_data[25] => src21_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src2_data[25].DATAIN
sink_data[25] => src3_data[25].DATAIN
sink_data[25] => src4_data[25].DATAIN
sink_data[25] => src5_data[25].DATAIN
sink_data[25] => src6_data[25].DATAIN
sink_data[25] => src7_data[25].DATAIN
sink_data[25] => src8_data[25].DATAIN
sink_data[25] => src9_data[25].DATAIN
sink_data[25] => src10_data[25].DATAIN
sink_data[25] => src11_data[25].DATAIN
sink_data[25] => src12_data[25].DATAIN
sink_data[25] => src13_data[25].DATAIN
sink_data[25] => src14_data[25].DATAIN
sink_data[25] => src15_data[25].DATAIN
sink_data[25] => src16_data[25].DATAIN
sink_data[25] => src17_data[25].DATAIN
sink_data[25] => src18_data[25].DATAIN
sink_data[25] => src19_data[25].DATAIN
sink_data[25] => src20_data[25].DATAIN
sink_data[26] => src21_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src2_data[26].DATAIN
sink_data[26] => src3_data[26].DATAIN
sink_data[26] => src4_data[26].DATAIN
sink_data[26] => src5_data[26].DATAIN
sink_data[26] => src6_data[26].DATAIN
sink_data[26] => src7_data[26].DATAIN
sink_data[26] => src8_data[26].DATAIN
sink_data[26] => src9_data[26].DATAIN
sink_data[26] => src10_data[26].DATAIN
sink_data[26] => src11_data[26].DATAIN
sink_data[26] => src12_data[26].DATAIN
sink_data[26] => src13_data[26].DATAIN
sink_data[26] => src14_data[26].DATAIN
sink_data[26] => src15_data[26].DATAIN
sink_data[26] => src16_data[26].DATAIN
sink_data[26] => src17_data[26].DATAIN
sink_data[26] => src18_data[26].DATAIN
sink_data[26] => src19_data[26].DATAIN
sink_data[26] => src20_data[26].DATAIN
sink_data[27] => src21_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src2_data[27].DATAIN
sink_data[27] => src3_data[27].DATAIN
sink_data[27] => src4_data[27].DATAIN
sink_data[27] => src5_data[27].DATAIN
sink_data[27] => src6_data[27].DATAIN
sink_data[27] => src7_data[27].DATAIN
sink_data[27] => src8_data[27].DATAIN
sink_data[27] => src9_data[27].DATAIN
sink_data[27] => src10_data[27].DATAIN
sink_data[27] => src11_data[27].DATAIN
sink_data[27] => src12_data[27].DATAIN
sink_data[27] => src13_data[27].DATAIN
sink_data[27] => src14_data[27].DATAIN
sink_data[27] => src15_data[27].DATAIN
sink_data[27] => src16_data[27].DATAIN
sink_data[27] => src17_data[27].DATAIN
sink_data[27] => src18_data[27].DATAIN
sink_data[27] => src19_data[27].DATAIN
sink_data[27] => src20_data[27].DATAIN
sink_data[28] => src21_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src2_data[28].DATAIN
sink_data[28] => src3_data[28].DATAIN
sink_data[28] => src4_data[28].DATAIN
sink_data[28] => src5_data[28].DATAIN
sink_data[28] => src6_data[28].DATAIN
sink_data[28] => src7_data[28].DATAIN
sink_data[28] => src8_data[28].DATAIN
sink_data[28] => src9_data[28].DATAIN
sink_data[28] => src10_data[28].DATAIN
sink_data[28] => src11_data[28].DATAIN
sink_data[28] => src12_data[28].DATAIN
sink_data[28] => src13_data[28].DATAIN
sink_data[28] => src14_data[28].DATAIN
sink_data[28] => src15_data[28].DATAIN
sink_data[28] => src16_data[28].DATAIN
sink_data[28] => src17_data[28].DATAIN
sink_data[28] => src18_data[28].DATAIN
sink_data[28] => src19_data[28].DATAIN
sink_data[28] => src20_data[28].DATAIN
sink_data[29] => src21_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src2_data[29].DATAIN
sink_data[29] => src3_data[29].DATAIN
sink_data[29] => src4_data[29].DATAIN
sink_data[29] => src5_data[29].DATAIN
sink_data[29] => src6_data[29].DATAIN
sink_data[29] => src7_data[29].DATAIN
sink_data[29] => src8_data[29].DATAIN
sink_data[29] => src9_data[29].DATAIN
sink_data[29] => src10_data[29].DATAIN
sink_data[29] => src11_data[29].DATAIN
sink_data[29] => src12_data[29].DATAIN
sink_data[29] => src13_data[29].DATAIN
sink_data[29] => src14_data[29].DATAIN
sink_data[29] => src15_data[29].DATAIN
sink_data[29] => src16_data[29].DATAIN
sink_data[29] => src17_data[29].DATAIN
sink_data[29] => src18_data[29].DATAIN
sink_data[29] => src19_data[29].DATAIN
sink_data[29] => src20_data[29].DATAIN
sink_data[30] => src21_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src2_data[30].DATAIN
sink_data[30] => src3_data[30].DATAIN
sink_data[30] => src4_data[30].DATAIN
sink_data[30] => src5_data[30].DATAIN
sink_data[30] => src6_data[30].DATAIN
sink_data[30] => src7_data[30].DATAIN
sink_data[30] => src8_data[30].DATAIN
sink_data[30] => src9_data[30].DATAIN
sink_data[30] => src10_data[30].DATAIN
sink_data[30] => src11_data[30].DATAIN
sink_data[30] => src12_data[30].DATAIN
sink_data[30] => src13_data[30].DATAIN
sink_data[30] => src14_data[30].DATAIN
sink_data[30] => src15_data[30].DATAIN
sink_data[30] => src16_data[30].DATAIN
sink_data[30] => src17_data[30].DATAIN
sink_data[30] => src18_data[30].DATAIN
sink_data[30] => src19_data[30].DATAIN
sink_data[30] => src20_data[30].DATAIN
sink_data[31] => src21_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src2_data[31].DATAIN
sink_data[31] => src3_data[31].DATAIN
sink_data[31] => src4_data[31].DATAIN
sink_data[31] => src5_data[31].DATAIN
sink_data[31] => src6_data[31].DATAIN
sink_data[31] => src7_data[31].DATAIN
sink_data[31] => src8_data[31].DATAIN
sink_data[31] => src9_data[31].DATAIN
sink_data[31] => src10_data[31].DATAIN
sink_data[31] => src11_data[31].DATAIN
sink_data[31] => src12_data[31].DATAIN
sink_data[31] => src13_data[31].DATAIN
sink_data[31] => src14_data[31].DATAIN
sink_data[31] => src15_data[31].DATAIN
sink_data[31] => src16_data[31].DATAIN
sink_data[31] => src17_data[31].DATAIN
sink_data[31] => src18_data[31].DATAIN
sink_data[31] => src19_data[31].DATAIN
sink_data[31] => src20_data[31].DATAIN
sink_data[32] => src21_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src2_data[32].DATAIN
sink_data[32] => src3_data[32].DATAIN
sink_data[32] => src4_data[32].DATAIN
sink_data[32] => src5_data[32].DATAIN
sink_data[32] => src6_data[32].DATAIN
sink_data[32] => src7_data[32].DATAIN
sink_data[32] => src8_data[32].DATAIN
sink_data[32] => src9_data[32].DATAIN
sink_data[32] => src10_data[32].DATAIN
sink_data[32] => src11_data[32].DATAIN
sink_data[32] => src12_data[32].DATAIN
sink_data[32] => src13_data[32].DATAIN
sink_data[32] => src14_data[32].DATAIN
sink_data[32] => src15_data[32].DATAIN
sink_data[32] => src16_data[32].DATAIN
sink_data[32] => src17_data[32].DATAIN
sink_data[32] => src18_data[32].DATAIN
sink_data[32] => src19_data[32].DATAIN
sink_data[32] => src20_data[32].DATAIN
sink_data[33] => src21_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src2_data[33].DATAIN
sink_data[33] => src3_data[33].DATAIN
sink_data[33] => src4_data[33].DATAIN
sink_data[33] => src5_data[33].DATAIN
sink_data[33] => src6_data[33].DATAIN
sink_data[33] => src7_data[33].DATAIN
sink_data[33] => src8_data[33].DATAIN
sink_data[33] => src9_data[33].DATAIN
sink_data[33] => src10_data[33].DATAIN
sink_data[33] => src11_data[33].DATAIN
sink_data[33] => src12_data[33].DATAIN
sink_data[33] => src13_data[33].DATAIN
sink_data[33] => src14_data[33].DATAIN
sink_data[33] => src15_data[33].DATAIN
sink_data[33] => src16_data[33].DATAIN
sink_data[33] => src17_data[33].DATAIN
sink_data[33] => src18_data[33].DATAIN
sink_data[33] => src19_data[33].DATAIN
sink_data[33] => src20_data[33].DATAIN
sink_data[34] => src21_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src2_data[34].DATAIN
sink_data[34] => src3_data[34].DATAIN
sink_data[34] => src4_data[34].DATAIN
sink_data[34] => src5_data[34].DATAIN
sink_data[34] => src6_data[34].DATAIN
sink_data[34] => src7_data[34].DATAIN
sink_data[34] => src8_data[34].DATAIN
sink_data[34] => src9_data[34].DATAIN
sink_data[34] => src10_data[34].DATAIN
sink_data[34] => src11_data[34].DATAIN
sink_data[34] => src12_data[34].DATAIN
sink_data[34] => src13_data[34].DATAIN
sink_data[34] => src14_data[34].DATAIN
sink_data[34] => src15_data[34].DATAIN
sink_data[34] => src16_data[34].DATAIN
sink_data[34] => src17_data[34].DATAIN
sink_data[34] => src18_data[34].DATAIN
sink_data[34] => src19_data[34].DATAIN
sink_data[34] => src20_data[34].DATAIN
sink_data[35] => src21_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src2_data[35].DATAIN
sink_data[35] => src3_data[35].DATAIN
sink_data[35] => src4_data[35].DATAIN
sink_data[35] => src5_data[35].DATAIN
sink_data[35] => src6_data[35].DATAIN
sink_data[35] => src7_data[35].DATAIN
sink_data[35] => src8_data[35].DATAIN
sink_data[35] => src9_data[35].DATAIN
sink_data[35] => src10_data[35].DATAIN
sink_data[35] => src11_data[35].DATAIN
sink_data[35] => src12_data[35].DATAIN
sink_data[35] => src13_data[35].DATAIN
sink_data[35] => src14_data[35].DATAIN
sink_data[35] => src15_data[35].DATAIN
sink_data[35] => src16_data[35].DATAIN
sink_data[35] => src17_data[35].DATAIN
sink_data[35] => src18_data[35].DATAIN
sink_data[35] => src19_data[35].DATAIN
sink_data[35] => src20_data[35].DATAIN
sink_data[36] => src21_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src2_data[36].DATAIN
sink_data[36] => src3_data[36].DATAIN
sink_data[36] => src4_data[36].DATAIN
sink_data[36] => src5_data[36].DATAIN
sink_data[36] => src6_data[36].DATAIN
sink_data[36] => src7_data[36].DATAIN
sink_data[36] => src8_data[36].DATAIN
sink_data[36] => src9_data[36].DATAIN
sink_data[36] => src10_data[36].DATAIN
sink_data[36] => src11_data[36].DATAIN
sink_data[36] => src12_data[36].DATAIN
sink_data[36] => src13_data[36].DATAIN
sink_data[36] => src14_data[36].DATAIN
sink_data[36] => src15_data[36].DATAIN
sink_data[36] => src16_data[36].DATAIN
sink_data[36] => src17_data[36].DATAIN
sink_data[36] => src18_data[36].DATAIN
sink_data[36] => src19_data[36].DATAIN
sink_data[36] => src20_data[36].DATAIN
sink_data[37] => src21_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src2_data[37].DATAIN
sink_data[37] => src3_data[37].DATAIN
sink_data[37] => src4_data[37].DATAIN
sink_data[37] => src5_data[37].DATAIN
sink_data[37] => src6_data[37].DATAIN
sink_data[37] => src7_data[37].DATAIN
sink_data[37] => src8_data[37].DATAIN
sink_data[37] => src9_data[37].DATAIN
sink_data[37] => src10_data[37].DATAIN
sink_data[37] => src11_data[37].DATAIN
sink_data[37] => src12_data[37].DATAIN
sink_data[37] => src13_data[37].DATAIN
sink_data[37] => src14_data[37].DATAIN
sink_data[37] => src15_data[37].DATAIN
sink_data[37] => src16_data[37].DATAIN
sink_data[37] => src17_data[37].DATAIN
sink_data[37] => src18_data[37].DATAIN
sink_data[37] => src19_data[37].DATAIN
sink_data[37] => src20_data[37].DATAIN
sink_data[38] => src21_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src2_data[38].DATAIN
sink_data[38] => src3_data[38].DATAIN
sink_data[38] => src4_data[38].DATAIN
sink_data[38] => src5_data[38].DATAIN
sink_data[38] => src6_data[38].DATAIN
sink_data[38] => src7_data[38].DATAIN
sink_data[38] => src8_data[38].DATAIN
sink_data[38] => src9_data[38].DATAIN
sink_data[38] => src10_data[38].DATAIN
sink_data[38] => src11_data[38].DATAIN
sink_data[38] => src12_data[38].DATAIN
sink_data[38] => src13_data[38].DATAIN
sink_data[38] => src14_data[38].DATAIN
sink_data[38] => src15_data[38].DATAIN
sink_data[38] => src16_data[38].DATAIN
sink_data[38] => src17_data[38].DATAIN
sink_data[38] => src18_data[38].DATAIN
sink_data[38] => src19_data[38].DATAIN
sink_data[38] => src20_data[38].DATAIN
sink_data[39] => src21_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src2_data[39].DATAIN
sink_data[39] => src3_data[39].DATAIN
sink_data[39] => src4_data[39].DATAIN
sink_data[39] => src5_data[39].DATAIN
sink_data[39] => src6_data[39].DATAIN
sink_data[39] => src7_data[39].DATAIN
sink_data[39] => src8_data[39].DATAIN
sink_data[39] => src9_data[39].DATAIN
sink_data[39] => src10_data[39].DATAIN
sink_data[39] => src11_data[39].DATAIN
sink_data[39] => src12_data[39].DATAIN
sink_data[39] => src13_data[39].DATAIN
sink_data[39] => src14_data[39].DATAIN
sink_data[39] => src15_data[39].DATAIN
sink_data[39] => src16_data[39].DATAIN
sink_data[39] => src17_data[39].DATAIN
sink_data[39] => src18_data[39].DATAIN
sink_data[39] => src19_data[39].DATAIN
sink_data[39] => src20_data[39].DATAIN
sink_data[40] => src21_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src2_data[40].DATAIN
sink_data[40] => src3_data[40].DATAIN
sink_data[40] => src4_data[40].DATAIN
sink_data[40] => src5_data[40].DATAIN
sink_data[40] => src6_data[40].DATAIN
sink_data[40] => src7_data[40].DATAIN
sink_data[40] => src8_data[40].DATAIN
sink_data[40] => src9_data[40].DATAIN
sink_data[40] => src10_data[40].DATAIN
sink_data[40] => src11_data[40].DATAIN
sink_data[40] => src12_data[40].DATAIN
sink_data[40] => src13_data[40].DATAIN
sink_data[40] => src14_data[40].DATAIN
sink_data[40] => src15_data[40].DATAIN
sink_data[40] => src16_data[40].DATAIN
sink_data[40] => src17_data[40].DATAIN
sink_data[40] => src18_data[40].DATAIN
sink_data[40] => src19_data[40].DATAIN
sink_data[40] => src20_data[40].DATAIN
sink_data[41] => src21_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src2_data[41].DATAIN
sink_data[41] => src3_data[41].DATAIN
sink_data[41] => src4_data[41].DATAIN
sink_data[41] => src5_data[41].DATAIN
sink_data[41] => src6_data[41].DATAIN
sink_data[41] => src7_data[41].DATAIN
sink_data[41] => src8_data[41].DATAIN
sink_data[41] => src9_data[41].DATAIN
sink_data[41] => src10_data[41].DATAIN
sink_data[41] => src11_data[41].DATAIN
sink_data[41] => src12_data[41].DATAIN
sink_data[41] => src13_data[41].DATAIN
sink_data[41] => src14_data[41].DATAIN
sink_data[41] => src15_data[41].DATAIN
sink_data[41] => src16_data[41].DATAIN
sink_data[41] => src17_data[41].DATAIN
sink_data[41] => src18_data[41].DATAIN
sink_data[41] => src19_data[41].DATAIN
sink_data[41] => src20_data[41].DATAIN
sink_data[42] => src21_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src2_data[42].DATAIN
sink_data[42] => src3_data[42].DATAIN
sink_data[42] => src4_data[42].DATAIN
sink_data[42] => src5_data[42].DATAIN
sink_data[42] => src6_data[42].DATAIN
sink_data[42] => src7_data[42].DATAIN
sink_data[42] => src8_data[42].DATAIN
sink_data[42] => src9_data[42].DATAIN
sink_data[42] => src10_data[42].DATAIN
sink_data[42] => src11_data[42].DATAIN
sink_data[42] => src12_data[42].DATAIN
sink_data[42] => src13_data[42].DATAIN
sink_data[42] => src14_data[42].DATAIN
sink_data[42] => src15_data[42].DATAIN
sink_data[42] => src16_data[42].DATAIN
sink_data[42] => src17_data[42].DATAIN
sink_data[42] => src18_data[42].DATAIN
sink_data[42] => src19_data[42].DATAIN
sink_data[42] => src20_data[42].DATAIN
sink_data[43] => src21_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src2_data[43].DATAIN
sink_data[43] => src3_data[43].DATAIN
sink_data[43] => src4_data[43].DATAIN
sink_data[43] => src5_data[43].DATAIN
sink_data[43] => src6_data[43].DATAIN
sink_data[43] => src7_data[43].DATAIN
sink_data[43] => src8_data[43].DATAIN
sink_data[43] => src9_data[43].DATAIN
sink_data[43] => src10_data[43].DATAIN
sink_data[43] => src11_data[43].DATAIN
sink_data[43] => src12_data[43].DATAIN
sink_data[43] => src13_data[43].DATAIN
sink_data[43] => src14_data[43].DATAIN
sink_data[43] => src15_data[43].DATAIN
sink_data[43] => src16_data[43].DATAIN
sink_data[43] => src17_data[43].DATAIN
sink_data[43] => src18_data[43].DATAIN
sink_data[43] => src19_data[43].DATAIN
sink_data[43] => src20_data[43].DATAIN
sink_data[44] => src21_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src2_data[44].DATAIN
sink_data[44] => src3_data[44].DATAIN
sink_data[44] => src4_data[44].DATAIN
sink_data[44] => src5_data[44].DATAIN
sink_data[44] => src6_data[44].DATAIN
sink_data[44] => src7_data[44].DATAIN
sink_data[44] => src8_data[44].DATAIN
sink_data[44] => src9_data[44].DATAIN
sink_data[44] => src10_data[44].DATAIN
sink_data[44] => src11_data[44].DATAIN
sink_data[44] => src12_data[44].DATAIN
sink_data[44] => src13_data[44].DATAIN
sink_data[44] => src14_data[44].DATAIN
sink_data[44] => src15_data[44].DATAIN
sink_data[44] => src16_data[44].DATAIN
sink_data[44] => src17_data[44].DATAIN
sink_data[44] => src18_data[44].DATAIN
sink_data[44] => src19_data[44].DATAIN
sink_data[44] => src20_data[44].DATAIN
sink_data[45] => src21_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src2_data[45].DATAIN
sink_data[45] => src3_data[45].DATAIN
sink_data[45] => src4_data[45].DATAIN
sink_data[45] => src5_data[45].DATAIN
sink_data[45] => src6_data[45].DATAIN
sink_data[45] => src7_data[45].DATAIN
sink_data[45] => src8_data[45].DATAIN
sink_data[45] => src9_data[45].DATAIN
sink_data[45] => src10_data[45].DATAIN
sink_data[45] => src11_data[45].DATAIN
sink_data[45] => src12_data[45].DATAIN
sink_data[45] => src13_data[45].DATAIN
sink_data[45] => src14_data[45].DATAIN
sink_data[45] => src15_data[45].DATAIN
sink_data[45] => src16_data[45].DATAIN
sink_data[45] => src17_data[45].DATAIN
sink_data[45] => src18_data[45].DATAIN
sink_data[45] => src19_data[45].DATAIN
sink_data[45] => src20_data[45].DATAIN
sink_data[46] => src21_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src2_data[46].DATAIN
sink_data[46] => src3_data[46].DATAIN
sink_data[46] => src4_data[46].DATAIN
sink_data[46] => src5_data[46].DATAIN
sink_data[46] => src6_data[46].DATAIN
sink_data[46] => src7_data[46].DATAIN
sink_data[46] => src8_data[46].DATAIN
sink_data[46] => src9_data[46].DATAIN
sink_data[46] => src10_data[46].DATAIN
sink_data[46] => src11_data[46].DATAIN
sink_data[46] => src12_data[46].DATAIN
sink_data[46] => src13_data[46].DATAIN
sink_data[46] => src14_data[46].DATAIN
sink_data[46] => src15_data[46].DATAIN
sink_data[46] => src16_data[46].DATAIN
sink_data[46] => src17_data[46].DATAIN
sink_data[46] => src18_data[46].DATAIN
sink_data[46] => src19_data[46].DATAIN
sink_data[46] => src20_data[46].DATAIN
sink_data[47] => src21_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src2_data[47].DATAIN
sink_data[47] => src3_data[47].DATAIN
sink_data[47] => src4_data[47].DATAIN
sink_data[47] => src5_data[47].DATAIN
sink_data[47] => src6_data[47].DATAIN
sink_data[47] => src7_data[47].DATAIN
sink_data[47] => src8_data[47].DATAIN
sink_data[47] => src9_data[47].DATAIN
sink_data[47] => src10_data[47].DATAIN
sink_data[47] => src11_data[47].DATAIN
sink_data[47] => src12_data[47].DATAIN
sink_data[47] => src13_data[47].DATAIN
sink_data[47] => src14_data[47].DATAIN
sink_data[47] => src15_data[47].DATAIN
sink_data[47] => src16_data[47].DATAIN
sink_data[47] => src17_data[47].DATAIN
sink_data[47] => src18_data[47].DATAIN
sink_data[47] => src19_data[47].DATAIN
sink_data[47] => src20_data[47].DATAIN
sink_data[48] => src21_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src2_data[48].DATAIN
sink_data[48] => src3_data[48].DATAIN
sink_data[48] => src4_data[48].DATAIN
sink_data[48] => src5_data[48].DATAIN
sink_data[48] => src6_data[48].DATAIN
sink_data[48] => src7_data[48].DATAIN
sink_data[48] => src8_data[48].DATAIN
sink_data[48] => src9_data[48].DATAIN
sink_data[48] => src10_data[48].DATAIN
sink_data[48] => src11_data[48].DATAIN
sink_data[48] => src12_data[48].DATAIN
sink_data[48] => src13_data[48].DATAIN
sink_data[48] => src14_data[48].DATAIN
sink_data[48] => src15_data[48].DATAIN
sink_data[48] => src16_data[48].DATAIN
sink_data[48] => src17_data[48].DATAIN
sink_data[48] => src18_data[48].DATAIN
sink_data[48] => src19_data[48].DATAIN
sink_data[48] => src20_data[48].DATAIN
sink_data[49] => src21_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src2_data[49].DATAIN
sink_data[49] => src3_data[49].DATAIN
sink_data[49] => src4_data[49].DATAIN
sink_data[49] => src5_data[49].DATAIN
sink_data[49] => src6_data[49].DATAIN
sink_data[49] => src7_data[49].DATAIN
sink_data[49] => src8_data[49].DATAIN
sink_data[49] => src9_data[49].DATAIN
sink_data[49] => src10_data[49].DATAIN
sink_data[49] => src11_data[49].DATAIN
sink_data[49] => src12_data[49].DATAIN
sink_data[49] => src13_data[49].DATAIN
sink_data[49] => src14_data[49].DATAIN
sink_data[49] => src15_data[49].DATAIN
sink_data[49] => src16_data[49].DATAIN
sink_data[49] => src17_data[49].DATAIN
sink_data[49] => src18_data[49].DATAIN
sink_data[49] => src19_data[49].DATAIN
sink_data[49] => src20_data[49].DATAIN
sink_data[50] => src21_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src2_data[50].DATAIN
sink_data[50] => src3_data[50].DATAIN
sink_data[50] => src4_data[50].DATAIN
sink_data[50] => src5_data[50].DATAIN
sink_data[50] => src6_data[50].DATAIN
sink_data[50] => src7_data[50].DATAIN
sink_data[50] => src8_data[50].DATAIN
sink_data[50] => src9_data[50].DATAIN
sink_data[50] => src10_data[50].DATAIN
sink_data[50] => src11_data[50].DATAIN
sink_data[50] => src12_data[50].DATAIN
sink_data[50] => src13_data[50].DATAIN
sink_data[50] => src14_data[50].DATAIN
sink_data[50] => src15_data[50].DATAIN
sink_data[50] => src16_data[50].DATAIN
sink_data[50] => src17_data[50].DATAIN
sink_data[50] => src18_data[50].DATAIN
sink_data[50] => src19_data[50].DATAIN
sink_data[50] => src20_data[50].DATAIN
sink_data[51] => src21_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src2_data[51].DATAIN
sink_data[51] => src3_data[51].DATAIN
sink_data[51] => src4_data[51].DATAIN
sink_data[51] => src5_data[51].DATAIN
sink_data[51] => src6_data[51].DATAIN
sink_data[51] => src7_data[51].DATAIN
sink_data[51] => src8_data[51].DATAIN
sink_data[51] => src9_data[51].DATAIN
sink_data[51] => src10_data[51].DATAIN
sink_data[51] => src11_data[51].DATAIN
sink_data[51] => src12_data[51].DATAIN
sink_data[51] => src13_data[51].DATAIN
sink_data[51] => src14_data[51].DATAIN
sink_data[51] => src15_data[51].DATAIN
sink_data[51] => src16_data[51].DATAIN
sink_data[51] => src17_data[51].DATAIN
sink_data[51] => src18_data[51].DATAIN
sink_data[51] => src19_data[51].DATAIN
sink_data[51] => src20_data[51].DATAIN
sink_data[52] => src21_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src2_data[52].DATAIN
sink_data[52] => src3_data[52].DATAIN
sink_data[52] => src4_data[52].DATAIN
sink_data[52] => src5_data[52].DATAIN
sink_data[52] => src6_data[52].DATAIN
sink_data[52] => src7_data[52].DATAIN
sink_data[52] => src8_data[52].DATAIN
sink_data[52] => src9_data[52].DATAIN
sink_data[52] => src10_data[52].DATAIN
sink_data[52] => src11_data[52].DATAIN
sink_data[52] => src12_data[52].DATAIN
sink_data[52] => src13_data[52].DATAIN
sink_data[52] => src14_data[52].DATAIN
sink_data[52] => src15_data[52].DATAIN
sink_data[52] => src16_data[52].DATAIN
sink_data[52] => src17_data[52].DATAIN
sink_data[52] => src18_data[52].DATAIN
sink_data[52] => src19_data[52].DATAIN
sink_data[52] => src20_data[52].DATAIN
sink_data[53] => src21_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src2_data[53].DATAIN
sink_data[53] => src3_data[53].DATAIN
sink_data[53] => src4_data[53].DATAIN
sink_data[53] => src5_data[53].DATAIN
sink_data[53] => src6_data[53].DATAIN
sink_data[53] => src7_data[53].DATAIN
sink_data[53] => src8_data[53].DATAIN
sink_data[53] => src9_data[53].DATAIN
sink_data[53] => src10_data[53].DATAIN
sink_data[53] => src11_data[53].DATAIN
sink_data[53] => src12_data[53].DATAIN
sink_data[53] => src13_data[53].DATAIN
sink_data[53] => src14_data[53].DATAIN
sink_data[53] => src15_data[53].DATAIN
sink_data[53] => src16_data[53].DATAIN
sink_data[53] => src17_data[53].DATAIN
sink_data[53] => src18_data[53].DATAIN
sink_data[53] => src19_data[53].DATAIN
sink_data[53] => src20_data[53].DATAIN
sink_data[54] => src21_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src2_data[54].DATAIN
sink_data[54] => src3_data[54].DATAIN
sink_data[54] => src4_data[54].DATAIN
sink_data[54] => src5_data[54].DATAIN
sink_data[54] => src6_data[54].DATAIN
sink_data[54] => src7_data[54].DATAIN
sink_data[54] => src8_data[54].DATAIN
sink_data[54] => src9_data[54].DATAIN
sink_data[54] => src10_data[54].DATAIN
sink_data[54] => src11_data[54].DATAIN
sink_data[54] => src12_data[54].DATAIN
sink_data[54] => src13_data[54].DATAIN
sink_data[54] => src14_data[54].DATAIN
sink_data[54] => src15_data[54].DATAIN
sink_data[54] => src16_data[54].DATAIN
sink_data[54] => src17_data[54].DATAIN
sink_data[54] => src18_data[54].DATAIN
sink_data[54] => src19_data[54].DATAIN
sink_data[54] => src20_data[54].DATAIN
sink_data[55] => src21_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src2_data[55].DATAIN
sink_data[55] => src3_data[55].DATAIN
sink_data[55] => src4_data[55].DATAIN
sink_data[55] => src5_data[55].DATAIN
sink_data[55] => src6_data[55].DATAIN
sink_data[55] => src7_data[55].DATAIN
sink_data[55] => src8_data[55].DATAIN
sink_data[55] => src9_data[55].DATAIN
sink_data[55] => src10_data[55].DATAIN
sink_data[55] => src11_data[55].DATAIN
sink_data[55] => src12_data[55].DATAIN
sink_data[55] => src13_data[55].DATAIN
sink_data[55] => src14_data[55].DATAIN
sink_data[55] => src15_data[55].DATAIN
sink_data[55] => src16_data[55].DATAIN
sink_data[55] => src17_data[55].DATAIN
sink_data[55] => src18_data[55].DATAIN
sink_data[55] => src19_data[55].DATAIN
sink_data[55] => src20_data[55].DATAIN
sink_data[56] => src21_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src2_data[56].DATAIN
sink_data[56] => src3_data[56].DATAIN
sink_data[56] => src4_data[56].DATAIN
sink_data[56] => src5_data[56].DATAIN
sink_data[56] => src6_data[56].DATAIN
sink_data[56] => src7_data[56].DATAIN
sink_data[56] => src8_data[56].DATAIN
sink_data[56] => src9_data[56].DATAIN
sink_data[56] => src10_data[56].DATAIN
sink_data[56] => src11_data[56].DATAIN
sink_data[56] => src12_data[56].DATAIN
sink_data[56] => src13_data[56].DATAIN
sink_data[56] => src14_data[56].DATAIN
sink_data[56] => src15_data[56].DATAIN
sink_data[56] => src16_data[56].DATAIN
sink_data[56] => src17_data[56].DATAIN
sink_data[56] => src18_data[56].DATAIN
sink_data[56] => src19_data[56].DATAIN
sink_data[56] => src20_data[56].DATAIN
sink_data[57] => src21_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src2_data[57].DATAIN
sink_data[57] => src3_data[57].DATAIN
sink_data[57] => src4_data[57].DATAIN
sink_data[57] => src5_data[57].DATAIN
sink_data[57] => src6_data[57].DATAIN
sink_data[57] => src7_data[57].DATAIN
sink_data[57] => src8_data[57].DATAIN
sink_data[57] => src9_data[57].DATAIN
sink_data[57] => src10_data[57].DATAIN
sink_data[57] => src11_data[57].DATAIN
sink_data[57] => src12_data[57].DATAIN
sink_data[57] => src13_data[57].DATAIN
sink_data[57] => src14_data[57].DATAIN
sink_data[57] => src15_data[57].DATAIN
sink_data[57] => src16_data[57].DATAIN
sink_data[57] => src17_data[57].DATAIN
sink_data[57] => src18_data[57].DATAIN
sink_data[57] => src19_data[57].DATAIN
sink_data[57] => src20_data[57].DATAIN
sink_data[58] => src21_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src2_data[58].DATAIN
sink_data[58] => src3_data[58].DATAIN
sink_data[58] => src4_data[58].DATAIN
sink_data[58] => src5_data[58].DATAIN
sink_data[58] => src6_data[58].DATAIN
sink_data[58] => src7_data[58].DATAIN
sink_data[58] => src8_data[58].DATAIN
sink_data[58] => src9_data[58].DATAIN
sink_data[58] => src10_data[58].DATAIN
sink_data[58] => src11_data[58].DATAIN
sink_data[58] => src12_data[58].DATAIN
sink_data[58] => src13_data[58].DATAIN
sink_data[58] => src14_data[58].DATAIN
sink_data[58] => src15_data[58].DATAIN
sink_data[58] => src16_data[58].DATAIN
sink_data[58] => src17_data[58].DATAIN
sink_data[58] => src18_data[58].DATAIN
sink_data[58] => src19_data[58].DATAIN
sink_data[58] => src20_data[58].DATAIN
sink_data[59] => src21_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src2_data[59].DATAIN
sink_data[59] => src3_data[59].DATAIN
sink_data[59] => src4_data[59].DATAIN
sink_data[59] => src5_data[59].DATAIN
sink_data[59] => src6_data[59].DATAIN
sink_data[59] => src7_data[59].DATAIN
sink_data[59] => src8_data[59].DATAIN
sink_data[59] => src9_data[59].DATAIN
sink_data[59] => src10_data[59].DATAIN
sink_data[59] => src11_data[59].DATAIN
sink_data[59] => src12_data[59].DATAIN
sink_data[59] => src13_data[59].DATAIN
sink_data[59] => src14_data[59].DATAIN
sink_data[59] => src15_data[59].DATAIN
sink_data[59] => src16_data[59].DATAIN
sink_data[59] => src17_data[59].DATAIN
sink_data[59] => src18_data[59].DATAIN
sink_data[59] => src19_data[59].DATAIN
sink_data[59] => src20_data[59].DATAIN
sink_data[60] => src21_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src2_data[60].DATAIN
sink_data[60] => src3_data[60].DATAIN
sink_data[60] => src4_data[60].DATAIN
sink_data[60] => src5_data[60].DATAIN
sink_data[60] => src6_data[60].DATAIN
sink_data[60] => src7_data[60].DATAIN
sink_data[60] => src8_data[60].DATAIN
sink_data[60] => src9_data[60].DATAIN
sink_data[60] => src10_data[60].DATAIN
sink_data[60] => src11_data[60].DATAIN
sink_data[60] => src12_data[60].DATAIN
sink_data[60] => src13_data[60].DATAIN
sink_data[60] => src14_data[60].DATAIN
sink_data[60] => src15_data[60].DATAIN
sink_data[60] => src16_data[60].DATAIN
sink_data[60] => src17_data[60].DATAIN
sink_data[60] => src18_data[60].DATAIN
sink_data[60] => src19_data[60].DATAIN
sink_data[60] => src20_data[60].DATAIN
sink_data[61] => src21_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src2_data[61].DATAIN
sink_data[61] => src3_data[61].DATAIN
sink_data[61] => src4_data[61].DATAIN
sink_data[61] => src5_data[61].DATAIN
sink_data[61] => src6_data[61].DATAIN
sink_data[61] => src7_data[61].DATAIN
sink_data[61] => src8_data[61].DATAIN
sink_data[61] => src9_data[61].DATAIN
sink_data[61] => src10_data[61].DATAIN
sink_data[61] => src11_data[61].DATAIN
sink_data[61] => src12_data[61].DATAIN
sink_data[61] => src13_data[61].DATAIN
sink_data[61] => src14_data[61].DATAIN
sink_data[61] => src15_data[61].DATAIN
sink_data[61] => src16_data[61].DATAIN
sink_data[61] => src17_data[61].DATAIN
sink_data[61] => src18_data[61].DATAIN
sink_data[61] => src19_data[61].DATAIN
sink_data[61] => src20_data[61].DATAIN
sink_data[62] => src21_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src2_data[62].DATAIN
sink_data[62] => src3_data[62].DATAIN
sink_data[62] => src4_data[62].DATAIN
sink_data[62] => src5_data[62].DATAIN
sink_data[62] => src6_data[62].DATAIN
sink_data[62] => src7_data[62].DATAIN
sink_data[62] => src8_data[62].DATAIN
sink_data[62] => src9_data[62].DATAIN
sink_data[62] => src10_data[62].DATAIN
sink_data[62] => src11_data[62].DATAIN
sink_data[62] => src12_data[62].DATAIN
sink_data[62] => src13_data[62].DATAIN
sink_data[62] => src14_data[62].DATAIN
sink_data[62] => src15_data[62].DATAIN
sink_data[62] => src16_data[62].DATAIN
sink_data[62] => src17_data[62].DATAIN
sink_data[62] => src18_data[62].DATAIN
sink_data[62] => src19_data[62].DATAIN
sink_data[62] => src20_data[62].DATAIN
sink_data[63] => src21_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src2_data[63].DATAIN
sink_data[63] => src3_data[63].DATAIN
sink_data[63] => src4_data[63].DATAIN
sink_data[63] => src5_data[63].DATAIN
sink_data[63] => src6_data[63].DATAIN
sink_data[63] => src7_data[63].DATAIN
sink_data[63] => src8_data[63].DATAIN
sink_data[63] => src9_data[63].DATAIN
sink_data[63] => src10_data[63].DATAIN
sink_data[63] => src11_data[63].DATAIN
sink_data[63] => src12_data[63].DATAIN
sink_data[63] => src13_data[63].DATAIN
sink_data[63] => src14_data[63].DATAIN
sink_data[63] => src15_data[63].DATAIN
sink_data[63] => src16_data[63].DATAIN
sink_data[63] => src17_data[63].DATAIN
sink_data[63] => src18_data[63].DATAIN
sink_data[63] => src19_data[63].DATAIN
sink_data[63] => src20_data[63].DATAIN
sink_data[64] => src21_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src2_data[64].DATAIN
sink_data[64] => src3_data[64].DATAIN
sink_data[64] => src4_data[64].DATAIN
sink_data[64] => src5_data[64].DATAIN
sink_data[64] => src6_data[64].DATAIN
sink_data[64] => src7_data[64].DATAIN
sink_data[64] => src8_data[64].DATAIN
sink_data[64] => src9_data[64].DATAIN
sink_data[64] => src10_data[64].DATAIN
sink_data[64] => src11_data[64].DATAIN
sink_data[64] => src12_data[64].DATAIN
sink_data[64] => src13_data[64].DATAIN
sink_data[64] => src14_data[64].DATAIN
sink_data[64] => src15_data[64].DATAIN
sink_data[64] => src16_data[64].DATAIN
sink_data[64] => src17_data[64].DATAIN
sink_data[64] => src18_data[64].DATAIN
sink_data[64] => src19_data[64].DATAIN
sink_data[64] => src20_data[64].DATAIN
sink_data[65] => src21_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src2_data[65].DATAIN
sink_data[65] => src3_data[65].DATAIN
sink_data[65] => src4_data[65].DATAIN
sink_data[65] => src5_data[65].DATAIN
sink_data[65] => src6_data[65].DATAIN
sink_data[65] => src7_data[65].DATAIN
sink_data[65] => src8_data[65].DATAIN
sink_data[65] => src9_data[65].DATAIN
sink_data[65] => src10_data[65].DATAIN
sink_data[65] => src11_data[65].DATAIN
sink_data[65] => src12_data[65].DATAIN
sink_data[65] => src13_data[65].DATAIN
sink_data[65] => src14_data[65].DATAIN
sink_data[65] => src15_data[65].DATAIN
sink_data[65] => src16_data[65].DATAIN
sink_data[65] => src17_data[65].DATAIN
sink_data[65] => src18_data[65].DATAIN
sink_data[65] => src19_data[65].DATAIN
sink_data[65] => src20_data[65].DATAIN
sink_data[66] => src21_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src2_data[66].DATAIN
sink_data[66] => src3_data[66].DATAIN
sink_data[66] => src4_data[66].DATAIN
sink_data[66] => src5_data[66].DATAIN
sink_data[66] => src6_data[66].DATAIN
sink_data[66] => src7_data[66].DATAIN
sink_data[66] => src8_data[66].DATAIN
sink_data[66] => src9_data[66].DATAIN
sink_data[66] => src10_data[66].DATAIN
sink_data[66] => src11_data[66].DATAIN
sink_data[66] => src12_data[66].DATAIN
sink_data[66] => src13_data[66].DATAIN
sink_data[66] => src14_data[66].DATAIN
sink_data[66] => src15_data[66].DATAIN
sink_data[66] => src16_data[66].DATAIN
sink_data[66] => src17_data[66].DATAIN
sink_data[66] => src18_data[66].DATAIN
sink_data[66] => src19_data[66].DATAIN
sink_data[66] => src20_data[66].DATAIN
sink_data[67] => src21_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src2_data[67].DATAIN
sink_data[67] => src3_data[67].DATAIN
sink_data[67] => src4_data[67].DATAIN
sink_data[67] => src5_data[67].DATAIN
sink_data[67] => src6_data[67].DATAIN
sink_data[67] => src7_data[67].DATAIN
sink_data[67] => src8_data[67].DATAIN
sink_data[67] => src9_data[67].DATAIN
sink_data[67] => src10_data[67].DATAIN
sink_data[67] => src11_data[67].DATAIN
sink_data[67] => src12_data[67].DATAIN
sink_data[67] => src13_data[67].DATAIN
sink_data[67] => src14_data[67].DATAIN
sink_data[67] => src15_data[67].DATAIN
sink_data[67] => src16_data[67].DATAIN
sink_data[67] => src17_data[67].DATAIN
sink_data[67] => src18_data[67].DATAIN
sink_data[67] => src19_data[67].DATAIN
sink_data[67] => src20_data[67].DATAIN
sink_data[68] => src21_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src2_data[68].DATAIN
sink_data[68] => src3_data[68].DATAIN
sink_data[68] => src4_data[68].DATAIN
sink_data[68] => src5_data[68].DATAIN
sink_data[68] => src6_data[68].DATAIN
sink_data[68] => src7_data[68].DATAIN
sink_data[68] => src8_data[68].DATAIN
sink_data[68] => src9_data[68].DATAIN
sink_data[68] => src10_data[68].DATAIN
sink_data[68] => src11_data[68].DATAIN
sink_data[68] => src12_data[68].DATAIN
sink_data[68] => src13_data[68].DATAIN
sink_data[68] => src14_data[68].DATAIN
sink_data[68] => src15_data[68].DATAIN
sink_data[68] => src16_data[68].DATAIN
sink_data[68] => src17_data[68].DATAIN
sink_data[68] => src18_data[68].DATAIN
sink_data[68] => src19_data[68].DATAIN
sink_data[68] => src20_data[68].DATAIN
sink_data[69] => src21_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src2_data[69].DATAIN
sink_data[69] => src3_data[69].DATAIN
sink_data[69] => src4_data[69].DATAIN
sink_data[69] => src5_data[69].DATAIN
sink_data[69] => src6_data[69].DATAIN
sink_data[69] => src7_data[69].DATAIN
sink_data[69] => src8_data[69].DATAIN
sink_data[69] => src9_data[69].DATAIN
sink_data[69] => src10_data[69].DATAIN
sink_data[69] => src11_data[69].DATAIN
sink_data[69] => src12_data[69].DATAIN
sink_data[69] => src13_data[69].DATAIN
sink_data[69] => src14_data[69].DATAIN
sink_data[69] => src15_data[69].DATAIN
sink_data[69] => src16_data[69].DATAIN
sink_data[69] => src17_data[69].DATAIN
sink_data[69] => src18_data[69].DATAIN
sink_data[69] => src19_data[69].DATAIN
sink_data[69] => src20_data[69].DATAIN
sink_data[70] => src21_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src2_data[70].DATAIN
sink_data[70] => src3_data[70].DATAIN
sink_data[70] => src4_data[70].DATAIN
sink_data[70] => src5_data[70].DATAIN
sink_data[70] => src6_data[70].DATAIN
sink_data[70] => src7_data[70].DATAIN
sink_data[70] => src8_data[70].DATAIN
sink_data[70] => src9_data[70].DATAIN
sink_data[70] => src10_data[70].DATAIN
sink_data[70] => src11_data[70].DATAIN
sink_data[70] => src12_data[70].DATAIN
sink_data[70] => src13_data[70].DATAIN
sink_data[70] => src14_data[70].DATAIN
sink_data[70] => src15_data[70].DATAIN
sink_data[70] => src16_data[70].DATAIN
sink_data[70] => src17_data[70].DATAIN
sink_data[70] => src18_data[70].DATAIN
sink_data[70] => src19_data[70].DATAIN
sink_data[70] => src20_data[70].DATAIN
sink_data[71] => src21_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src2_data[71].DATAIN
sink_data[71] => src3_data[71].DATAIN
sink_data[71] => src4_data[71].DATAIN
sink_data[71] => src5_data[71].DATAIN
sink_data[71] => src6_data[71].DATAIN
sink_data[71] => src7_data[71].DATAIN
sink_data[71] => src8_data[71].DATAIN
sink_data[71] => src9_data[71].DATAIN
sink_data[71] => src10_data[71].DATAIN
sink_data[71] => src11_data[71].DATAIN
sink_data[71] => src12_data[71].DATAIN
sink_data[71] => src13_data[71].DATAIN
sink_data[71] => src14_data[71].DATAIN
sink_data[71] => src15_data[71].DATAIN
sink_data[71] => src16_data[71].DATAIN
sink_data[71] => src17_data[71].DATAIN
sink_data[71] => src18_data[71].DATAIN
sink_data[71] => src19_data[71].DATAIN
sink_data[71] => src20_data[71].DATAIN
sink_data[72] => src21_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src2_data[72].DATAIN
sink_data[72] => src3_data[72].DATAIN
sink_data[72] => src4_data[72].DATAIN
sink_data[72] => src5_data[72].DATAIN
sink_data[72] => src6_data[72].DATAIN
sink_data[72] => src7_data[72].DATAIN
sink_data[72] => src8_data[72].DATAIN
sink_data[72] => src9_data[72].DATAIN
sink_data[72] => src10_data[72].DATAIN
sink_data[72] => src11_data[72].DATAIN
sink_data[72] => src12_data[72].DATAIN
sink_data[72] => src13_data[72].DATAIN
sink_data[72] => src14_data[72].DATAIN
sink_data[72] => src15_data[72].DATAIN
sink_data[72] => src16_data[72].DATAIN
sink_data[72] => src17_data[72].DATAIN
sink_data[72] => src18_data[72].DATAIN
sink_data[72] => src19_data[72].DATAIN
sink_data[72] => src20_data[72].DATAIN
sink_data[73] => src21_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src2_data[73].DATAIN
sink_data[73] => src3_data[73].DATAIN
sink_data[73] => src4_data[73].DATAIN
sink_data[73] => src5_data[73].DATAIN
sink_data[73] => src6_data[73].DATAIN
sink_data[73] => src7_data[73].DATAIN
sink_data[73] => src8_data[73].DATAIN
sink_data[73] => src9_data[73].DATAIN
sink_data[73] => src10_data[73].DATAIN
sink_data[73] => src11_data[73].DATAIN
sink_data[73] => src12_data[73].DATAIN
sink_data[73] => src13_data[73].DATAIN
sink_data[73] => src14_data[73].DATAIN
sink_data[73] => src15_data[73].DATAIN
sink_data[73] => src16_data[73].DATAIN
sink_data[73] => src17_data[73].DATAIN
sink_data[73] => src18_data[73].DATAIN
sink_data[73] => src19_data[73].DATAIN
sink_data[73] => src20_data[73].DATAIN
sink_data[74] => src21_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src2_data[74].DATAIN
sink_data[74] => src3_data[74].DATAIN
sink_data[74] => src4_data[74].DATAIN
sink_data[74] => src5_data[74].DATAIN
sink_data[74] => src6_data[74].DATAIN
sink_data[74] => src7_data[74].DATAIN
sink_data[74] => src8_data[74].DATAIN
sink_data[74] => src9_data[74].DATAIN
sink_data[74] => src10_data[74].DATAIN
sink_data[74] => src11_data[74].DATAIN
sink_data[74] => src12_data[74].DATAIN
sink_data[74] => src13_data[74].DATAIN
sink_data[74] => src14_data[74].DATAIN
sink_data[74] => src15_data[74].DATAIN
sink_data[74] => src16_data[74].DATAIN
sink_data[74] => src17_data[74].DATAIN
sink_data[74] => src18_data[74].DATAIN
sink_data[74] => src19_data[74].DATAIN
sink_data[74] => src20_data[74].DATAIN
sink_data[75] => src21_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src2_data[75].DATAIN
sink_data[75] => src3_data[75].DATAIN
sink_data[75] => src4_data[75].DATAIN
sink_data[75] => src5_data[75].DATAIN
sink_data[75] => src6_data[75].DATAIN
sink_data[75] => src7_data[75].DATAIN
sink_data[75] => src8_data[75].DATAIN
sink_data[75] => src9_data[75].DATAIN
sink_data[75] => src10_data[75].DATAIN
sink_data[75] => src11_data[75].DATAIN
sink_data[75] => src12_data[75].DATAIN
sink_data[75] => src13_data[75].DATAIN
sink_data[75] => src14_data[75].DATAIN
sink_data[75] => src15_data[75].DATAIN
sink_data[75] => src16_data[75].DATAIN
sink_data[75] => src17_data[75].DATAIN
sink_data[75] => src18_data[75].DATAIN
sink_data[75] => src19_data[75].DATAIN
sink_data[75] => src20_data[75].DATAIN
sink_data[76] => src21_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src2_data[76].DATAIN
sink_data[76] => src3_data[76].DATAIN
sink_data[76] => src4_data[76].DATAIN
sink_data[76] => src5_data[76].DATAIN
sink_data[76] => src6_data[76].DATAIN
sink_data[76] => src7_data[76].DATAIN
sink_data[76] => src8_data[76].DATAIN
sink_data[76] => src9_data[76].DATAIN
sink_data[76] => src10_data[76].DATAIN
sink_data[76] => src11_data[76].DATAIN
sink_data[76] => src12_data[76].DATAIN
sink_data[76] => src13_data[76].DATAIN
sink_data[76] => src14_data[76].DATAIN
sink_data[76] => src15_data[76].DATAIN
sink_data[76] => src16_data[76].DATAIN
sink_data[76] => src17_data[76].DATAIN
sink_data[76] => src18_data[76].DATAIN
sink_data[76] => src19_data[76].DATAIN
sink_data[76] => src20_data[76].DATAIN
sink_data[77] => src21_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src2_data[77].DATAIN
sink_data[77] => src3_data[77].DATAIN
sink_data[77] => src4_data[77].DATAIN
sink_data[77] => src5_data[77].DATAIN
sink_data[77] => src6_data[77].DATAIN
sink_data[77] => src7_data[77].DATAIN
sink_data[77] => src8_data[77].DATAIN
sink_data[77] => src9_data[77].DATAIN
sink_data[77] => src10_data[77].DATAIN
sink_data[77] => src11_data[77].DATAIN
sink_data[77] => src12_data[77].DATAIN
sink_data[77] => src13_data[77].DATAIN
sink_data[77] => src14_data[77].DATAIN
sink_data[77] => src15_data[77].DATAIN
sink_data[77] => src16_data[77].DATAIN
sink_data[77] => src17_data[77].DATAIN
sink_data[77] => src18_data[77].DATAIN
sink_data[77] => src19_data[77].DATAIN
sink_data[77] => src20_data[77].DATAIN
sink_data[78] => src21_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src2_data[78].DATAIN
sink_data[78] => src3_data[78].DATAIN
sink_data[78] => src4_data[78].DATAIN
sink_data[78] => src5_data[78].DATAIN
sink_data[78] => src6_data[78].DATAIN
sink_data[78] => src7_data[78].DATAIN
sink_data[78] => src8_data[78].DATAIN
sink_data[78] => src9_data[78].DATAIN
sink_data[78] => src10_data[78].DATAIN
sink_data[78] => src11_data[78].DATAIN
sink_data[78] => src12_data[78].DATAIN
sink_data[78] => src13_data[78].DATAIN
sink_data[78] => src14_data[78].DATAIN
sink_data[78] => src15_data[78].DATAIN
sink_data[78] => src16_data[78].DATAIN
sink_data[78] => src17_data[78].DATAIN
sink_data[78] => src18_data[78].DATAIN
sink_data[78] => src19_data[78].DATAIN
sink_data[78] => src20_data[78].DATAIN
sink_data[79] => src21_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src2_data[79].DATAIN
sink_data[79] => src3_data[79].DATAIN
sink_data[79] => src4_data[79].DATAIN
sink_data[79] => src5_data[79].DATAIN
sink_data[79] => src6_data[79].DATAIN
sink_data[79] => src7_data[79].DATAIN
sink_data[79] => src8_data[79].DATAIN
sink_data[79] => src9_data[79].DATAIN
sink_data[79] => src10_data[79].DATAIN
sink_data[79] => src11_data[79].DATAIN
sink_data[79] => src12_data[79].DATAIN
sink_data[79] => src13_data[79].DATAIN
sink_data[79] => src14_data[79].DATAIN
sink_data[79] => src15_data[79].DATAIN
sink_data[79] => src16_data[79].DATAIN
sink_data[79] => src17_data[79].DATAIN
sink_data[79] => src18_data[79].DATAIN
sink_data[79] => src19_data[79].DATAIN
sink_data[79] => src20_data[79].DATAIN
sink_data[80] => src21_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src2_data[80].DATAIN
sink_data[80] => src3_data[80].DATAIN
sink_data[80] => src4_data[80].DATAIN
sink_data[80] => src5_data[80].DATAIN
sink_data[80] => src6_data[80].DATAIN
sink_data[80] => src7_data[80].DATAIN
sink_data[80] => src8_data[80].DATAIN
sink_data[80] => src9_data[80].DATAIN
sink_data[80] => src10_data[80].DATAIN
sink_data[80] => src11_data[80].DATAIN
sink_data[80] => src12_data[80].DATAIN
sink_data[80] => src13_data[80].DATAIN
sink_data[80] => src14_data[80].DATAIN
sink_data[80] => src15_data[80].DATAIN
sink_data[80] => src16_data[80].DATAIN
sink_data[80] => src17_data[80].DATAIN
sink_data[80] => src18_data[80].DATAIN
sink_data[80] => src19_data[80].DATAIN
sink_data[80] => src20_data[80].DATAIN
sink_data[81] => src21_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src2_data[81].DATAIN
sink_data[81] => src3_data[81].DATAIN
sink_data[81] => src4_data[81].DATAIN
sink_data[81] => src5_data[81].DATAIN
sink_data[81] => src6_data[81].DATAIN
sink_data[81] => src7_data[81].DATAIN
sink_data[81] => src8_data[81].DATAIN
sink_data[81] => src9_data[81].DATAIN
sink_data[81] => src10_data[81].DATAIN
sink_data[81] => src11_data[81].DATAIN
sink_data[81] => src12_data[81].DATAIN
sink_data[81] => src13_data[81].DATAIN
sink_data[81] => src14_data[81].DATAIN
sink_data[81] => src15_data[81].DATAIN
sink_data[81] => src16_data[81].DATAIN
sink_data[81] => src17_data[81].DATAIN
sink_data[81] => src18_data[81].DATAIN
sink_data[81] => src19_data[81].DATAIN
sink_data[81] => src20_data[81].DATAIN
sink_data[82] => src21_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src2_data[82].DATAIN
sink_data[82] => src3_data[82].DATAIN
sink_data[82] => src4_data[82].DATAIN
sink_data[82] => src5_data[82].DATAIN
sink_data[82] => src6_data[82].DATAIN
sink_data[82] => src7_data[82].DATAIN
sink_data[82] => src8_data[82].DATAIN
sink_data[82] => src9_data[82].DATAIN
sink_data[82] => src10_data[82].DATAIN
sink_data[82] => src11_data[82].DATAIN
sink_data[82] => src12_data[82].DATAIN
sink_data[82] => src13_data[82].DATAIN
sink_data[82] => src14_data[82].DATAIN
sink_data[82] => src15_data[82].DATAIN
sink_data[82] => src16_data[82].DATAIN
sink_data[82] => src17_data[82].DATAIN
sink_data[82] => src18_data[82].DATAIN
sink_data[82] => src19_data[82].DATAIN
sink_data[82] => src20_data[82].DATAIN
sink_data[83] => src21_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src2_data[83].DATAIN
sink_data[83] => src3_data[83].DATAIN
sink_data[83] => src4_data[83].DATAIN
sink_data[83] => src5_data[83].DATAIN
sink_data[83] => src6_data[83].DATAIN
sink_data[83] => src7_data[83].DATAIN
sink_data[83] => src8_data[83].DATAIN
sink_data[83] => src9_data[83].DATAIN
sink_data[83] => src10_data[83].DATAIN
sink_data[83] => src11_data[83].DATAIN
sink_data[83] => src12_data[83].DATAIN
sink_data[83] => src13_data[83].DATAIN
sink_data[83] => src14_data[83].DATAIN
sink_data[83] => src15_data[83].DATAIN
sink_data[83] => src16_data[83].DATAIN
sink_data[83] => src17_data[83].DATAIN
sink_data[83] => src18_data[83].DATAIN
sink_data[83] => src19_data[83].DATAIN
sink_data[83] => src20_data[83].DATAIN
sink_data[84] => src21_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src2_data[84].DATAIN
sink_data[84] => src3_data[84].DATAIN
sink_data[84] => src4_data[84].DATAIN
sink_data[84] => src5_data[84].DATAIN
sink_data[84] => src6_data[84].DATAIN
sink_data[84] => src7_data[84].DATAIN
sink_data[84] => src8_data[84].DATAIN
sink_data[84] => src9_data[84].DATAIN
sink_data[84] => src10_data[84].DATAIN
sink_data[84] => src11_data[84].DATAIN
sink_data[84] => src12_data[84].DATAIN
sink_data[84] => src13_data[84].DATAIN
sink_data[84] => src14_data[84].DATAIN
sink_data[84] => src15_data[84].DATAIN
sink_data[84] => src16_data[84].DATAIN
sink_data[84] => src17_data[84].DATAIN
sink_data[84] => src18_data[84].DATAIN
sink_data[84] => src19_data[84].DATAIN
sink_data[84] => src20_data[84].DATAIN
sink_data[85] => src21_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src2_data[85].DATAIN
sink_data[85] => src3_data[85].DATAIN
sink_data[85] => src4_data[85].DATAIN
sink_data[85] => src5_data[85].DATAIN
sink_data[85] => src6_data[85].DATAIN
sink_data[85] => src7_data[85].DATAIN
sink_data[85] => src8_data[85].DATAIN
sink_data[85] => src9_data[85].DATAIN
sink_data[85] => src10_data[85].DATAIN
sink_data[85] => src11_data[85].DATAIN
sink_data[85] => src12_data[85].DATAIN
sink_data[85] => src13_data[85].DATAIN
sink_data[85] => src14_data[85].DATAIN
sink_data[85] => src15_data[85].DATAIN
sink_data[85] => src16_data[85].DATAIN
sink_data[85] => src17_data[85].DATAIN
sink_data[85] => src18_data[85].DATAIN
sink_data[85] => src19_data[85].DATAIN
sink_data[85] => src20_data[85].DATAIN
sink_data[86] => src21_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src2_data[86].DATAIN
sink_data[86] => src3_data[86].DATAIN
sink_data[86] => src4_data[86].DATAIN
sink_data[86] => src5_data[86].DATAIN
sink_data[86] => src6_data[86].DATAIN
sink_data[86] => src7_data[86].DATAIN
sink_data[86] => src8_data[86].DATAIN
sink_data[86] => src9_data[86].DATAIN
sink_data[86] => src10_data[86].DATAIN
sink_data[86] => src11_data[86].DATAIN
sink_data[86] => src12_data[86].DATAIN
sink_data[86] => src13_data[86].DATAIN
sink_data[86] => src14_data[86].DATAIN
sink_data[86] => src15_data[86].DATAIN
sink_data[86] => src16_data[86].DATAIN
sink_data[86] => src17_data[86].DATAIN
sink_data[86] => src18_data[86].DATAIN
sink_data[86] => src19_data[86].DATAIN
sink_data[86] => src20_data[86].DATAIN
sink_data[87] => src21_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src2_data[87].DATAIN
sink_data[87] => src3_data[87].DATAIN
sink_data[87] => src4_data[87].DATAIN
sink_data[87] => src5_data[87].DATAIN
sink_data[87] => src6_data[87].DATAIN
sink_data[87] => src7_data[87].DATAIN
sink_data[87] => src8_data[87].DATAIN
sink_data[87] => src9_data[87].DATAIN
sink_data[87] => src10_data[87].DATAIN
sink_data[87] => src11_data[87].DATAIN
sink_data[87] => src12_data[87].DATAIN
sink_data[87] => src13_data[87].DATAIN
sink_data[87] => src14_data[87].DATAIN
sink_data[87] => src15_data[87].DATAIN
sink_data[87] => src16_data[87].DATAIN
sink_data[87] => src17_data[87].DATAIN
sink_data[87] => src18_data[87].DATAIN
sink_data[87] => src19_data[87].DATAIN
sink_data[87] => src20_data[87].DATAIN
sink_data[88] => src21_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src2_data[88].DATAIN
sink_data[88] => src3_data[88].DATAIN
sink_data[88] => src4_data[88].DATAIN
sink_data[88] => src5_data[88].DATAIN
sink_data[88] => src6_data[88].DATAIN
sink_data[88] => src7_data[88].DATAIN
sink_data[88] => src8_data[88].DATAIN
sink_data[88] => src9_data[88].DATAIN
sink_data[88] => src10_data[88].DATAIN
sink_data[88] => src11_data[88].DATAIN
sink_data[88] => src12_data[88].DATAIN
sink_data[88] => src13_data[88].DATAIN
sink_data[88] => src14_data[88].DATAIN
sink_data[88] => src15_data[88].DATAIN
sink_data[88] => src16_data[88].DATAIN
sink_data[88] => src17_data[88].DATAIN
sink_data[88] => src18_data[88].DATAIN
sink_data[88] => src19_data[88].DATAIN
sink_data[88] => src20_data[88].DATAIN
sink_data[89] => src21_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src2_data[89].DATAIN
sink_data[89] => src3_data[89].DATAIN
sink_data[89] => src4_data[89].DATAIN
sink_data[89] => src5_data[89].DATAIN
sink_data[89] => src6_data[89].DATAIN
sink_data[89] => src7_data[89].DATAIN
sink_data[89] => src8_data[89].DATAIN
sink_data[89] => src9_data[89].DATAIN
sink_data[89] => src10_data[89].DATAIN
sink_data[89] => src11_data[89].DATAIN
sink_data[89] => src12_data[89].DATAIN
sink_data[89] => src13_data[89].DATAIN
sink_data[89] => src14_data[89].DATAIN
sink_data[89] => src15_data[89].DATAIN
sink_data[89] => src16_data[89].DATAIN
sink_data[89] => src17_data[89].DATAIN
sink_data[89] => src18_data[89].DATAIN
sink_data[89] => src19_data[89].DATAIN
sink_data[89] => src20_data[89].DATAIN
sink_data[90] => src21_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src2_data[90].DATAIN
sink_data[90] => src3_data[90].DATAIN
sink_data[90] => src4_data[90].DATAIN
sink_data[90] => src5_data[90].DATAIN
sink_data[90] => src6_data[90].DATAIN
sink_data[90] => src7_data[90].DATAIN
sink_data[90] => src8_data[90].DATAIN
sink_data[90] => src9_data[90].DATAIN
sink_data[90] => src10_data[90].DATAIN
sink_data[90] => src11_data[90].DATAIN
sink_data[90] => src12_data[90].DATAIN
sink_data[90] => src13_data[90].DATAIN
sink_data[90] => src14_data[90].DATAIN
sink_data[90] => src15_data[90].DATAIN
sink_data[90] => src16_data[90].DATAIN
sink_data[90] => src17_data[90].DATAIN
sink_data[90] => src18_data[90].DATAIN
sink_data[90] => src19_data[90].DATAIN
sink_data[90] => src20_data[90].DATAIN
sink_data[91] => src21_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src2_data[91].DATAIN
sink_data[91] => src3_data[91].DATAIN
sink_data[91] => src4_data[91].DATAIN
sink_data[91] => src5_data[91].DATAIN
sink_data[91] => src6_data[91].DATAIN
sink_data[91] => src7_data[91].DATAIN
sink_data[91] => src8_data[91].DATAIN
sink_data[91] => src9_data[91].DATAIN
sink_data[91] => src10_data[91].DATAIN
sink_data[91] => src11_data[91].DATAIN
sink_data[91] => src12_data[91].DATAIN
sink_data[91] => src13_data[91].DATAIN
sink_data[91] => src14_data[91].DATAIN
sink_data[91] => src15_data[91].DATAIN
sink_data[91] => src16_data[91].DATAIN
sink_data[91] => src17_data[91].DATAIN
sink_data[91] => src18_data[91].DATAIN
sink_data[91] => src19_data[91].DATAIN
sink_data[91] => src20_data[91].DATAIN
sink_data[92] => src21_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src2_data[92].DATAIN
sink_data[92] => src3_data[92].DATAIN
sink_data[92] => src4_data[92].DATAIN
sink_data[92] => src5_data[92].DATAIN
sink_data[92] => src6_data[92].DATAIN
sink_data[92] => src7_data[92].DATAIN
sink_data[92] => src8_data[92].DATAIN
sink_data[92] => src9_data[92].DATAIN
sink_data[92] => src10_data[92].DATAIN
sink_data[92] => src11_data[92].DATAIN
sink_data[92] => src12_data[92].DATAIN
sink_data[92] => src13_data[92].DATAIN
sink_data[92] => src14_data[92].DATAIN
sink_data[92] => src15_data[92].DATAIN
sink_data[92] => src16_data[92].DATAIN
sink_data[92] => src17_data[92].DATAIN
sink_data[92] => src18_data[92].DATAIN
sink_data[92] => src19_data[92].DATAIN
sink_data[92] => src20_data[92].DATAIN
sink_data[93] => src21_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src2_data[93].DATAIN
sink_data[93] => src3_data[93].DATAIN
sink_data[93] => src4_data[93].DATAIN
sink_data[93] => src5_data[93].DATAIN
sink_data[93] => src6_data[93].DATAIN
sink_data[93] => src7_data[93].DATAIN
sink_data[93] => src8_data[93].DATAIN
sink_data[93] => src9_data[93].DATAIN
sink_data[93] => src10_data[93].DATAIN
sink_data[93] => src11_data[93].DATAIN
sink_data[93] => src12_data[93].DATAIN
sink_data[93] => src13_data[93].DATAIN
sink_data[93] => src14_data[93].DATAIN
sink_data[93] => src15_data[93].DATAIN
sink_data[93] => src16_data[93].DATAIN
sink_data[93] => src17_data[93].DATAIN
sink_data[93] => src18_data[93].DATAIN
sink_data[93] => src19_data[93].DATAIN
sink_data[93] => src20_data[93].DATAIN
sink_data[94] => src21_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src2_data[94].DATAIN
sink_data[94] => src3_data[94].DATAIN
sink_data[94] => src4_data[94].DATAIN
sink_data[94] => src5_data[94].DATAIN
sink_data[94] => src6_data[94].DATAIN
sink_data[94] => src7_data[94].DATAIN
sink_data[94] => src8_data[94].DATAIN
sink_data[94] => src9_data[94].DATAIN
sink_data[94] => src10_data[94].DATAIN
sink_data[94] => src11_data[94].DATAIN
sink_data[94] => src12_data[94].DATAIN
sink_data[94] => src13_data[94].DATAIN
sink_data[94] => src14_data[94].DATAIN
sink_data[94] => src15_data[94].DATAIN
sink_data[94] => src16_data[94].DATAIN
sink_data[94] => src17_data[94].DATAIN
sink_data[94] => src18_data[94].DATAIN
sink_data[94] => src19_data[94].DATAIN
sink_data[94] => src20_data[94].DATAIN
sink_data[95] => src21_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src2_data[95].DATAIN
sink_data[95] => src3_data[95].DATAIN
sink_data[95] => src4_data[95].DATAIN
sink_data[95] => src5_data[95].DATAIN
sink_data[95] => src6_data[95].DATAIN
sink_data[95] => src7_data[95].DATAIN
sink_data[95] => src8_data[95].DATAIN
sink_data[95] => src9_data[95].DATAIN
sink_data[95] => src10_data[95].DATAIN
sink_data[95] => src11_data[95].DATAIN
sink_data[95] => src12_data[95].DATAIN
sink_data[95] => src13_data[95].DATAIN
sink_data[95] => src14_data[95].DATAIN
sink_data[95] => src15_data[95].DATAIN
sink_data[95] => src16_data[95].DATAIN
sink_data[95] => src17_data[95].DATAIN
sink_data[95] => src18_data[95].DATAIN
sink_data[95] => src19_data[95].DATAIN
sink_data[95] => src20_data[95].DATAIN
sink_data[96] => src21_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src2_data[96].DATAIN
sink_data[96] => src3_data[96].DATAIN
sink_data[96] => src4_data[96].DATAIN
sink_data[96] => src5_data[96].DATAIN
sink_data[96] => src6_data[96].DATAIN
sink_data[96] => src7_data[96].DATAIN
sink_data[96] => src8_data[96].DATAIN
sink_data[96] => src9_data[96].DATAIN
sink_data[96] => src10_data[96].DATAIN
sink_data[96] => src11_data[96].DATAIN
sink_data[96] => src12_data[96].DATAIN
sink_data[96] => src13_data[96].DATAIN
sink_data[96] => src14_data[96].DATAIN
sink_data[96] => src15_data[96].DATAIN
sink_data[96] => src16_data[96].DATAIN
sink_data[96] => src17_data[96].DATAIN
sink_data[96] => src18_data[96].DATAIN
sink_data[96] => src19_data[96].DATAIN
sink_data[96] => src20_data[96].DATAIN
sink_data[97] => src21_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src2_data[97].DATAIN
sink_data[97] => src3_data[97].DATAIN
sink_data[97] => src4_data[97].DATAIN
sink_data[97] => src5_data[97].DATAIN
sink_data[97] => src6_data[97].DATAIN
sink_data[97] => src7_data[97].DATAIN
sink_data[97] => src8_data[97].DATAIN
sink_data[97] => src9_data[97].DATAIN
sink_data[97] => src10_data[97].DATAIN
sink_data[97] => src11_data[97].DATAIN
sink_data[97] => src12_data[97].DATAIN
sink_data[97] => src13_data[97].DATAIN
sink_data[97] => src14_data[97].DATAIN
sink_data[97] => src15_data[97].DATAIN
sink_data[97] => src16_data[97].DATAIN
sink_data[97] => src17_data[97].DATAIN
sink_data[97] => src18_data[97].DATAIN
sink_data[97] => src19_data[97].DATAIN
sink_data[97] => src20_data[97].DATAIN
sink_data[98] => src21_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src2_data[98].DATAIN
sink_data[98] => src3_data[98].DATAIN
sink_data[98] => src4_data[98].DATAIN
sink_data[98] => src5_data[98].DATAIN
sink_data[98] => src6_data[98].DATAIN
sink_data[98] => src7_data[98].DATAIN
sink_data[98] => src8_data[98].DATAIN
sink_data[98] => src9_data[98].DATAIN
sink_data[98] => src10_data[98].DATAIN
sink_data[98] => src11_data[98].DATAIN
sink_data[98] => src12_data[98].DATAIN
sink_data[98] => src13_data[98].DATAIN
sink_data[98] => src14_data[98].DATAIN
sink_data[98] => src15_data[98].DATAIN
sink_data[98] => src16_data[98].DATAIN
sink_data[98] => src17_data[98].DATAIN
sink_data[98] => src18_data[98].DATAIN
sink_data[98] => src19_data[98].DATAIN
sink_data[98] => src20_data[98].DATAIN
sink_data[99] => src21_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src2_data[99].DATAIN
sink_data[99] => src3_data[99].DATAIN
sink_data[99] => src4_data[99].DATAIN
sink_data[99] => src5_data[99].DATAIN
sink_data[99] => src6_data[99].DATAIN
sink_data[99] => src7_data[99].DATAIN
sink_data[99] => src8_data[99].DATAIN
sink_data[99] => src9_data[99].DATAIN
sink_data[99] => src10_data[99].DATAIN
sink_data[99] => src11_data[99].DATAIN
sink_data[99] => src12_data[99].DATAIN
sink_data[99] => src13_data[99].DATAIN
sink_data[99] => src14_data[99].DATAIN
sink_data[99] => src15_data[99].DATAIN
sink_data[99] => src16_data[99].DATAIN
sink_data[99] => src17_data[99].DATAIN
sink_data[99] => src18_data[99].DATAIN
sink_data[99] => src19_data[99].DATAIN
sink_data[99] => src20_data[99].DATAIN
sink_data[100] => src21_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src2_data[100].DATAIN
sink_data[100] => src3_data[100].DATAIN
sink_data[100] => src4_data[100].DATAIN
sink_data[100] => src5_data[100].DATAIN
sink_data[100] => src6_data[100].DATAIN
sink_data[100] => src7_data[100].DATAIN
sink_data[100] => src8_data[100].DATAIN
sink_data[100] => src9_data[100].DATAIN
sink_data[100] => src10_data[100].DATAIN
sink_data[100] => src11_data[100].DATAIN
sink_data[100] => src12_data[100].DATAIN
sink_data[100] => src13_data[100].DATAIN
sink_data[100] => src14_data[100].DATAIN
sink_data[100] => src15_data[100].DATAIN
sink_data[100] => src16_data[100].DATAIN
sink_data[100] => src17_data[100].DATAIN
sink_data[100] => src18_data[100].DATAIN
sink_data[100] => src19_data[100].DATAIN
sink_data[100] => src20_data[100].DATAIN
sink_data[101] => src21_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src2_data[101].DATAIN
sink_data[101] => src3_data[101].DATAIN
sink_data[101] => src4_data[101].DATAIN
sink_data[101] => src5_data[101].DATAIN
sink_data[101] => src6_data[101].DATAIN
sink_data[101] => src7_data[101].DATAIN
sink_data[101] => src8_data[101].DATAIN
sink_data[101] => src9_data[101].DATAIN
sink_data[101] => src10_data[101].DATAIN
sink_data[101] => src11_data[101].DATAIN
sink_data[101] => src12_data[101].DATAIN
sink_data[101] => src13_data[101].DATAIN
sink_data[101] => src14_data[101].DATAIN
sink_data[101] => src15_data[101].DATAIN
sink_data[101] => src16_data[101].DATAIN
sink_data[101] => src17_data[101].DATAIN
sink_data[101] => src18_data[101].DATAIN
sink_data[101] => src19_data[101].DATAIN
sink_data[101] => src20_data[101].DATAIN
sink_data[102] => src21_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src2_data[102].DATAIN
sink_data[102] => src3_data[102].DATAIN
sink_data[102] => src4_data[102].DATAIN
sink_data[102] => src5_data[102].DATAIN
sink_data[102] => src6_data[102].DATAIN
sink_data[102] => src7_data[102].DATAIN
sink_data[102] => src8_data[102].DATAIN
sink_data[102] => src9_data[102].DATAIN
sink_data[102] => src10_data[102].DATAIN
sink_data[102] => src11_data[102].DATAIN
sink_data[102] => src12_data[102].DATAIN
sink_data[102] => src13_data[102].DATAIN
sink_data[102] => src14_data[102].DATAIN
sink_data[102] => src15_data[102].DATAIN
sink_data[102] => src16_data[102].DATAIN
sink_data[102] => src17_data[102].DATAIN
sink_data[102] => src18_data[102].DATAIN
sink_data[102] => src19_data[102].DATAIN
sink_data[102] => src20_data[102].DATAIN
sink_data[103] => src21_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src2_data[103].DATAIN
sink_data[103] => src3_data[103].DATAIN
sink_data[103] => src4_data[103].DATAIN
sink_data[103] => src5_data[103].DATAIN
sink_data[103] => src6_data[103].DATAIN
sink_data[103] => src7_data[103].DATAIN
sink_data[103] => src8_data[103].DATAIN
sink_data[103] => src9_data[103].DATAIN
sink_data[103] => src10_data[103].DATAIN
sink_data[103] => src11_data[103].DATAIN
sink_data[103] => src12_data[103].DATAIN
sink_data[103] => src13_data[103].DATAIN
sink_data[103] => src14_data[103].DATAIN
sink_data[103] => src15_data[103].DATAIN
sink_data[103] => src16_data[103].DATAIN
sink_data[103] => src17_data[103].DATAIN
sink_data[103] => src18_data[103].DATAIN
sink_data[103] => src19_data[103].DATAIN
sink_data[103] => src20_data[103].DATAIN
sink_data[104] => src21_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src2_data[104].DATAIN
sink_data[104] => src3_data[104].DATAIN
sink_data[104] => src4_data[104].DATAIN
sink_data[104] => src5_data[104].DATAIN
sink_data[104] => src6_data[104].DATAIN
sink_data[104] => src7_data[104].DATAIN
sink_data[104] => src8_data[104].DATAIN
sink_data[104] => src9_data[104].DATAIN
sink_data[104] => src10_data[104].DATAIN
sink_data[104] => src11_data[104].DATAIN
sink_data[104] => src12_data[104].DATAIN
sink_data[104] => src13_data[104].DATAIN
sink_data[104] => src14_data[104].DATAIN
sink_data[104] => src15_data[104].DATAIN
sink_data[104] => src16_data[104].DATAIN
sink_data[104] => src17_data[104].DATAIN
sink_data[104] => src18_data[104].DATAIN
sink_data[104] => src19_data[104].DATAIN
sink_data[104] => src20_data[104].DATAIN
sink_data[105] => src21_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src2_data[105].DATAIN
sink_data[105] => src3_data[105].DATAIN
sink_data[105] => src4_data[105].DATAIN
sink_data[105] => src5_data[105].DATAIN
sink_data[105] => src6_data[105].DATAIN
sink_data[105] => src7_data[105].DATAIN
sink_data[105] => src8_data[105].DATAIN
sink_data[105] => src9_data[105].DATAIN
sink_data[105] => src10_data[105].DATAIN
sink_data[105] => src11_data[105].DATAIN
sink_data[105] => src12_data[105].DATAIN
sink_data[105] => src13_data[105].DATAIN
sink_data[105] => src14_data[105].DATAIN
sink_data[105] => src15_data[105].DATAIN
sink_data[105] => src16_data[105].DATAIN
sink_data[105] => src17_data[105].DATAIN
sink_data[105] => src18_data[105].DATAIN
sink_data[105] => src19_data[105].DATAIN
sink_data[105] => src20_data[105].DATAIN
sink_data[106] => src21_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src2_data[106].DATAIN
sink_data[106] => src3_data[106].DATAIN
sink_data[106] => src4_data[106].DATAIN
sink_data[106] => src5_data[106].DATAIN
sink_data[106] => src6_data[106].DATAIN
sink_data[106] => src7_data[106].DATAIN
sink_data[106] => src8_data[106].DATAIN
sink_data[106] => src9_data[106].DATAIN
sink_data[106] => src10_data[106].DATAIN
sink_data[106] => src11_data[106].DATAIN
sink_data[106] => src12_data[106].DATAIN
sink_data[106] => src13_data[106].DATAIN
sink_data[106] => src14_data[106].DATAIN
sink_data[106] => src15_data[106].DATAIN
sink_data[106] => src16_data[106].DATAIN
sink_data[106] => src17_data[106].DATAIN
sink_data[106] => src18_data[106].DATAIN
sink_data[106] => src19_data[106].DATAIN
sink_data[106] => src20_data[106].DATAIN
sink_data[107] => src21_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src2_data[107].DATAIN
sink_data[107] => src3_data[107].DATAIN
sink_data[107] => src4_data[107].DATAIN
sink_data[107] => src5_data[107].DATAIN
sink_data[107] => src6_data[107].DATAIN
sink_data[107] => src7_data[107].DATAIN
sink_data[107] => src8_data[107].DATAIN
sink_data[107] => src9_data[107].DATAIN
sink_data[107] => src10_data[107].DATAIN
sink_data[107] => src11_data[107].DATAIN
sink_data[107] => src12_data[107].DATAIN
sink_data[107] => src13_data[107].DATAIN
sink_data[107] => src14_data[107].DATAIN
sink_data[107] => src15_data[107].DATAIN
sink_data[107] => src16_data[107].DATAIN
sink_data[107] => src17_data[107].DATAIN
sink_data[107] => src18_data[107].DATAIN
sink_data[107] => src19_data[107].DATAIN
sink_data[107] => src20_data[107].DATAIN
sink_data[108] => src21_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src2_data[108].DATAIN
sink_data[108] => src3_data[108].DATAIN
sink_data[108] => src4_data[108].DATAIN
sink_data[108] => src5_data[108].DATAIN
sink_data[108] => src6_data[108].DATAIN
sink_data[108] => src7_data[108].DATAIN
sink_data[108] => src8_data[108].DATAIN
sink_data[108] => src9_data[108].DATAIN
sink_data[108] => src10_data[108].DATAIN
sink_data[108] => src11_data[108].DATAIN
sink_data[108] => src12_data[108].DATAIN
sink_data[108] => src13_data[108].DATAIN
sink_data[108] => src14_data[108].DATAIN
sink_data[108] => src15_data[108].DATAIN
sink_data[108] => src16_data[108].DATAIN
sink_data[108] => src17_data[108].DATAIN
sink_data[108] => src18_data[108].DATAIN
sink_data[108] => src19_data[108].DATAIN
sink_data[108] => src20_data[108].DATAIN
sink_data[109] => src21_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src2_data[109].DATAIN
sink_data[109] => src3_data[109].DATAIN
sink_data[109] => src4_data[109].DATAIN
sink_data[109] => src5_data[109].DATAIN
sink_data[109] => src6_data[109].DATAIN
sink_data[109] => src7_data[109].DATAIN
sink_data[109] => src8_data[109].DATAIN
sink_data[109] => src9_data[109].DATAIN
sink_data[109] => src10_data[109].DATAIN
sink_data[109] => src11_data[109].DATAIN
sink_data[109] => src12_data[109].DATAIN
sink_data[109] => src13_data[109].DATAIN
sink_data[109] => src14_data[109].DATAIN
sink_data[109] => src15_data[109].DATAIN
sink_data[109] => src16_data[109].DATAIN
sink_data[109] => src17_data[109].DATAIN
sink_data[109] => src18_data[109].DATAIN
sink_data[109] => src19_data[109].DATAIN
sink_data[109] => src20_data[109].DATAIN
sink_data[110] => src21_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src2_data[110].DATAIN
sink_data[110] => src3_data[110].DATAIN
sink_data[110] => src4_data[110].DATAIN
sink_data[110] => src5_data[110].DATAIN
sink_data[110] => src6_data[110].DATAIN
sink_data[110] => src7_data[110].DATAIN
sink_data[110] => src8_data[110].DATAIN
sink_data[110] => src9_data[110].DATAIN
sink_data[110] => src10_data[110].DATAIN
sink_data[110] => src11_data[110].DATAIN
sink_data[110] => src12_data[110].DATAIN
sink_data[110] => src13_data[110].DATAIN
sink_data[110] => src14_data[110].DATAIN
sink_data[110] => src15_data[110].DATAIN
sink_data[110] => src16_data[110].DATAIN
sink_data[110] => src17_data[110].DATAIN
sink_data[110] => src18_data[110].DATAIN
sink_data[110] => src19_data[110].DATAIN
sink_data[110] => src20_data[110].DATAIN
sink_data[111] => src21_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src2_data[111].DATAIN
sink_data[111] => src3_data[111].DATAIN
sink_data[111] => src4_data[111].DATAIN
sink_data[111] => src5_data[111].DATAIN
sink_data[111] => src6_data[111].DATAIN
sink_data[111] => src7_data[111].DATAIN
sink_data[111] => src8_data[111].DATAIN
sink_data[111] => src9_data[111].DATAIN
sink_data[111] => src10_data[111].DATAIN
sink_data[111] => src11_data[111].DATAIN
sink_data[111] => src12_data[111].DATAIN
sink_data[111] => src13_data[111].DATAIN
sink_data[111] => src14_data[111].DATAIN
sink_data[111] => src15_data[111].DATAIN
sink_data[111] => src16_data[111].DATAIN
sink_data[111] => src17_data[111].DATAIN
sink_data[111] => src18_data[111].DATAIN
sink_data[111] => src19_data[111].DATAIN
sink_data[111] => src20_data[111].DATAIN
sink_data[112] => src21_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src2_data[112].DATAIN
sink_data[112] => src3_data[112].DATAIN
sink_data[112] => src4_data[112].DATAIN
sink_data[112] => src5_data[112].DATAIN
sink_data[112] => src6_data[112].DATAIN
sink_data[112] => src7_data[112].DATAIN
sink_data[112] => src8_data[112].DATAIN
sink_data[112] => src9_data[112].DATAIN
sink_data[112] => src10_data[112].DATAIN
sink_data[112] => src11_data[112].DATAIN
sink_data[112] => src12_data[112].DATAIN
sink_data[112] => src13_data[112].DATAIN
sink_data[112] => src14_data[112].DATAIN
sink_data[112] => src15_data[112].DATAIN
sink_data[112] => src16_data[112].DATAIN
sink_data[112] => src17_data[112].DATAIN
sink_data[112] => src18_data[112].DATAIN
sink_data[112] => src19_data[112].DATAIN
sink_data[112] => src20_data[112].DATAIN
sink_data[113] => src21_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src2_data[113].DATAIN
sink_data[113] => src3_data[113].DATAIN
sink_data[113] => src4_data[113].DATAIN
sink_data[113] => src5_data[113].DATAIN
sink_data[113] => src6_data[113].DATAIN
sink_data[113] => src7_data[113].DATAIN
sink_data[113] => src8_data[113].DATAIN
sink_data[113] => src9_data[113].DATAIN
sink_data[113] => src10_data[113].DATAIN
sink_data[113] => src11_data[113].DATAIN
sink_data[113] => src12_data[113].DATAIN
sink_data[113] => src13_data[113].DATAIN
sink_data[113] => src14_data[113].DATAIN
sink_data[113] => src15_data[113].DATAIN
sink_data[113] => src16_data[113].DATAIN
sink_data[113] => src17_data[113].DATAIN
sink_data[113] => src18_data[113].DATAIN
sink_data[113] => src19_data[113].DATAIN
sink_data[113] => src20_data[113].DATAIN
sink_data[114] => src21_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src2_data[114].DATAIN
sink_data[114] => src3_data[114].DATAIN
sink_data[114] => src4_data[114].DATAIN
sink_data[114] => src5_data[114].DATAIN
sink_data[114] => src6_data[114].DATAIN
sink_data[114] => src7_data[114].DATAIN
sink_data[114] => src8_data[114].DATAIN
sink_data[114] => src9_data[114].DATAIN
sink_data[114] => src10_data[114].DATAIN
sink_data[114] => src11_data[114].DATAIN
sink_data[114] => src12_data[114].DATAIN
sink_data[114] => src13_data[114].DATAIN
sink_data[114] => src14_data[114].DATAIN
sink_data[114] => src15_data[114].DATAIN
sink_data[114] => src16_data[114].DATAIN
sink_data[114] => src17_data[114].DATAIN
sink_data[114] => src18_data[114].DATAIN
sink_data[114] => src19_data[114].DATAIN
sink_data[114] => src20_data[114].DATAIN
sink_data[115] => src21_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src2_data[115].DATAIN
sink_data[115] => src3_data[115].DATAIN
sink_data[115] => src4_data[115].DATAIN
sink_data[115] => src5_data[115].DATAIN
sink_data[115] => src6_data[115].DATAIN
sink_data[115] => src7_data[115].DATAIN
sink_data[115] => src8_data[115].DATAIN
sink_data[115] => src9_data[115].DATAIN
sink_data[115] => src10_data[115].DATAIN
sink_data[115] => src11_data[115].DATAIN
sink_data[115] => src12_data[115].DATAIN
sink_data[115] => src13_data[115].DATAIN
sink_data[115] => src14_data[115].DATAIN
sink_data[115] => src15_data[115].DATAIN
sink_data[115] => src16_data[115].DATAIN
sink_data[115] => src17_data[115].DATAIN
sink_data[115] => src18_data[115].DATAIN
sink_data[115] => src19_data[115].DATAIN
sink_data[115] => src20_data[115].DATAIN
sink_data[116] => src21_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src2_data[116].DATAIN
sink_data[116] => src3_data[116].DATAIN
sink_data[116] => src4_data[116].DATAIN
sink_data[116] => src5_data[116].DATAIN
sink_data[116] => src6_data[116].DATAIN
sink_data[116] => src7_data[116].DATAIN
sink_data[116] => src8_data[116].DATAIN
sink_data[116] => src9_data[116].DATAIN
sink_data[116] => src10_data[116].DATAIN
sink_data[116] => src11_data[116].DATAIN
sink_data[116] => src12_data[116].DATAIN
sink_data[116] => src13_data[116].DATAIN
sink_data[116] => src14_data[116].DATAIN
sink_data[116] => src15_data[116].DATAIN
sink_data[116] => src16_data[116].DATAIN
sink_data[116] => src17_data[116].DATAIN
sink_data[116] => src18_data[116].DATAIN
sink_data[116] => src19_data[116].DATAIN
sink_data[116] => src20_data[116].DATAIN
sink_data[117] => src21_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src2_data[117].DATAIN
sink_data[117] => src3_data[117].DATAIN
sink_data[117] => src4_data[117].DATAIN
sink_data[117] => src5_data[117].DATAIN
sink_data[117] => src6_data[117].DATAIN
sink_data[117] => src7_data[117].DATAIN
sink_data[117] => src8_data[117].DATAIN
sink_data[117] => src9_data[117].DATAIN
sink_data[117] => src10_data[117].DATAIN
sink_data[117] => src11_data[117].DATAIN
sink_data[117] => src12_data[117].DATAIN
sink_data[117] => src13_data[117].DATAIN
sink_data[117] => src14_data[117].DATAIN
sink_data[117] => src15_data[117].DATAIN
sink_data[117] => src16_data[117].DATAIN
sink_data[117] => src17_data[117].DATAIN
sink_data[117] => src18_data[117].DATAIN
sink_data[117] => src19_data[117].DATAIN
sink_data[117] => src20_data[117].DATAIN
sink_data[118] => src21_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src2_data[118].DATAIN
sink_data[118] => src3_data[118].DATAIN
sink_data[118] => src4_data[118].DATAIN
sink_data[118] => src5_data[118].DATAIN
sink_data[118] => src6_data[118].DATAIN
sink_data[118] => src7_data[118].DATAIN
sink_data[118] => src8_data[118].DATAIN
sink_data[118] => src9_data[118].DATAIN
sink_data[118] => src10_data[118].DATAIN
sink_data[118] => src11_data[118].DATAIN
sink_data[118] => src12_data[118].DATAIN
sink_data[118] => src13_data[118].DATAIN
sink_data[118] => src14_data[118].DATAIN
sink_data[118] => src15_data[118].DATAIN
sink_data[118] => src16_data[118].DATAIN
sink_data[118] => src17_data[118].DATAIN
sink_data[118] => src18_data[118].DATAIN
sink_data[118] => src19_data[118].DATAIN
sink_data[118] => src20_data[118].DATAIN
sink_data[119] => src21_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src2_data[119].DATAIN
sink_data[119] => src3_data[119].DATAIN
sink_data[119] => src4_data[119].DATAIN
sink_data[119] => src5_data[119].DATAIN
sink_data[119] => src6_data[119].DATAIN
sink_data[119] => src7_data[119].DATAIN
sink_data[119] => src8_data[119].DATAIN
sink_data[119] => src9_data[119].DATAIN
sink_data[119] => src10_data[119].DATAIN
sink_data[119] => src11_data[119].DATAIN
sink_data[119] => src12_data[119].DATAIN
sink_data[119] => src13_data[119].DATAIN
sink_data[119] => src14_data[119].DATAIN
sink_data[119] => src15_data[119].DATAIN
sink_data[119] => src16_data[119].DATAIN
sink_data[119] => src17_data[119].DATAIN
sink_data[119] => src18_data[119].DATAIN
sink_data[119] => src19_data[119].DATAIN
sink_data[119] => src20_data[119].DATAIN
sink_data[120] => src21_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src2_data[120].DATAIN
sink_data[120] => src3_data[120].DATAIN
sink_data[120] => src4_data[120].DATAIN
sink_data[120] => src5_data[120].DATAIN
sink_data[120] => src6_data[120].DATAIN
sink_data[120] => src7_data[120].DATAIN
sink_data[120] => src8_data[120].DATAIN
sink_data[120] => src9_data[120].DATAIN
sink_data[120] => src10_data[120].DATAIN
sink_data[120] => src11_data[120].DATAIN
sink_data[120] => src12_data[120].DATAIN
sink_data[120] => src13_data[120].DATAIN
sink_data[120] => src14_data[120].DATAIN
sink_data[120] => src15_data[120].DATAIN
sink_data[120] => src16_data[120].DATAIN
sink_data[120] => src17_data[120].DATAIN
sink_data[120] => src18_data[120].DATAIN
sink_data[120] => src19_data[120].DATAIN
sink_data[120] => src20_data[120].DATAIN
sink_data[121] => src21_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src2_data[121].DATAIN
sink_data[121] => src3_data[121].DATAIN
sink_data[121] => src4_data[121].DATAIN
sink_data[121] => src5_data[121].DATAIN
sink_data[121] => src6_data[121].DATAIN
sink_data[121] => src7_data[121].DATAIN
sink_data[121] => src8_data[121].DATAIN
sink_data[121] => src9_data[121].DATAIN
sink_data[121] => src10_data[121].DATAIN
sink_data[121] => src11_data[121].DATAIN
sink_data[121] => src12_data[121].DATAIN
sink_data[121] => src13_data[121].DATAIN
sink_data[121] => src14_data[121].DATAIN
sink_data[121] => src15_data[121].DATAIN
sink_data[121] => src16_data[121].DATAIN
sink_data[121] => src17_data[121].DATAIN
sink_data[121] => src18_data[121].DATAIN
sink_data[121] => src19_data[121].DATAIN
sink_data[121] => src20_data[121].DATAIN
sink_data[122] => src21_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src2_data[122].DATAIN
sink_data[122] => src3_data[122].DATAIN
sink_data[122] => src4_data[122].DATAIN
sink_data[122] => src5_data[122].DATAIN
sink_data[122] => src6_data[122].DATAIN
sink_data[122] => src7_data[122].DATAIN
sink_data[122] => src8_data[122].DATAIN
sink_data[122] => src9_data[122].DATAIN
sink_data[122] => src10_data[122].DATAIN
sink_data[122] => src11_data[122].DATAIN
sink_data[122] => src12_data[122].DATAIN
sink_data[122] => src13_data[122].DATAIN
sink_data[122] => src14_data[122].DATAIN
sink_data[122] => src15_data[122].DATAIN
sink_data[122] => src16_data[122].DATAIN
sink_data[122] => src17_data[122].DATAIN
sink_data[122] => src18_data[122].DATAIN
sink_data[122] => src19_data[122].DATAIN
sink_data[122] => src20_data[122].DATAIN
sink_data[123] => src21_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src2_data[123].DATAIN
sink_data[123] => src3_data[123].DATAIN
sink_data[123] => src4_data[123].DATAIN
sink_data[123] => src5_data[123].DATAIN
sink_data[123] => src6_data[123].DATAIN
sink_data[123] => src7_data[123].DATAIN
sink_data[123] => src8_data[123].DATAIN
sink_data[123] => src9_data[123].DATAIN
sink_data[123] => src10_data[123].DATAIN
sink_data[123] => src11_data[123].DATAIN
sink_data[123] => src12_data[123].DATAIN
sink_data[123] => src13_data[123].DATAIN
sink_data[123] => src14_data[123].DATAIN
sink_data[123] => src15_data[123].DATAIN
sink_data[123] => src16_data[123].DATAIN
sink_data[123] => src17_data[123].DATAIN
sink_data[123] => src18_data[123].DATAIN
sink_data[123] => src19_data[123].DATAIN
sink_data[123] => src20_data[123].DATAIN
sink_data[124] => src21_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src2_data[124].DATAIN
sink_data[124] => src3_data[124].DATAIN
sink_data[124] => src4_data[124].DATAIN
sink_data[124] => src5_data[124].DATAIN
sink_data[124] => src6_data[124].DATAIN
sink_data[124] => src7_data[124].DATAIN
sink_data[124] => src8_data[124].DATAIN
sink_data[124] => src9_data[124].DATAIN
sink_data[124] => src10_data[124].DATAIN
sink_data[124] => src11_data[124].DATAIN
sink_data[124] => src12_data[124].DATAIN
sink_data[124] => src13_data[124].DATAIN
sink_data[124] => src14_data[124].DATAIN
sink_data[124] => src15_data[124].DATAIN
sink_data[124] => src16_data[124].DATAIN
sink_data[124] => src17_data[124].DATAIN
sink_data[124] => src18_data[124].DATAIN
sink_data[124] => src19_data[124].DATAIN
sink_data[124] => src20_data[124].DATAIN
sink_data[125] => src21_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src2_data[125].DATAIN
sink_data[125] => src3_data[125].DATAIN
sink_data[125] => src4_data[125].DATAIN
sink_data[125] => src5_data[125].DATAIN
sink_data[125] => src6_data[125].DATAIN
sink_data[125] => src7_data[125].DATAIN
sink_data[125] => src8_data[125].DATAIN
sink_data[125] => src9_data[125].DATAIN
sink_data[125] => src10_data[125].DATAIN
sink_data[125] => src11_data[125].DATAIN
sink_data[125] => src12_data[125].DATAIN
sink_data[125] => src13_data[125].DATAIN
sink_data[125] => src14_data[125].DATAIN
sink_data[125] => src15_data[125].DATAIN
sink_data[125] => src16_data[125].DATAIN
sink_data[125] => src17_data[125].DATAIN
sink_data[125] => src18_data[125].DATAIN
sink_data[125] => src19_data[125].DATAIN
sink_data[125] => src20_data[125].DATAIN
sink_data[126] => src21_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src2_data[126].DATAIN
sink_data[126] => src3_data[126].DATAIN
sink_data[126] => src4_data[126].DATAIN
sink_data[126] => src5_data[126].DATAIN
sink_data[126] => src6_data[126].DATAIN
sink_data[126] => src7_data[126].DATAIN
sink_data[126] => src8_data[126].DATAIN
sink_data[126] => src9_data[126].DATAIN
sink_data[126] => src10_data[126].DATAIN
sink_data[126] => src11_data[126].DATAIN
sink_data[126] => src12_data[126].DATAIN
sink_data[126] => src13_data[126].DATAIN
sink_data[126] => src14_data[126].DATAIN
sink_data[126] => src15_data[126].DATAIN
sink_data[126] => src16_data[126].DATAIN
sink_data[126] => src17_data[126].DATAIN
sink_data[126] => src18_data[126].DATAIN
sink_data[126] => src19_data[126].DATAIN
sink_data[126] => src20_data[126].DATAIN
sink_data[127] => src21_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src2_data[127].DATAIN
sink_data[127] => src3_data[127].DATAIN
sink_data[127] => src4_data[127].DATAIN
sink_data[127] => src5_data[127].DATAIN
sink_data[127] => src6_data[127].DATAIN
sink_data[127] => src7_data[127].DATAIN
sink_data[127] => src8_data[127].DATAIN
sink_data[127] => src9_data[127].DATAIN
sink_data[127] => src10_data[127].DATAIN
sink_data[127] => src11_data[127].DATAIN
sink_data[127] => src12_data[127].DATAIN
sink_data[127] => src13_data[127].DATAIN
sink_data[127] => src14_data[127].DATAIN
sink_data[127] => src15_data[127].DATAIN
sink_data[127] => src16_data[127].DATAIN
sink_data[127] => src17_data[127].DATAIN
sink_data[127] => src18_data[127].DATAIN
sink_data[127] => src19_data[127].DATAIN
sink_data[127] => src20_data[127].DATAIN
sink_data[128] => src21_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src2_data[128].DATAIN
sink_data[128] => src3_data[128].DATAIN
sink_data[128] => src4_data[128].DATAIN
sink_data[128] => src5_data[128].DATAIN
sink_data[128] => src6_data[128].DATAIN
sink_data[128] => src7_data[128].DATAIN
sink_data[128] => src8_data[128].DATAIN
sink_data[128] => src9_data[128].DATAIN
sink_data[128] => src10_data[128].DATAIN
sink_data[128] => src11_data[128].DATAIN
sink_data[128] => src12_data[128].DATAIN
sink_data[128] => src13_data[128].DATAIN
sink_data[128] => src14_data[128].DATAIN
sink_data[128] => src15_data[128].DATAIN
sink_data[128] => src16_data[128].DATAIN
sink_data[128] => src17_data[128].DATAIN
sink_data[128] => src18_data[128].DATAIN
sink_data[128] => src19_data[128].DATAIN
sink_data[128] => src20_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src2_valid.IN1
sink_channel[2] => sink_ready.IN0
sink_channel[3] => src3_valid.IN1
sink_channel[3] => sink_ready.IN0
sink_channel[4] => src4_valid.IN1
sink_channel[4] => sink_ready.IN0
sink_channel[5] => src5_valid.IN1
sink_channel[5] => sink_ready.IN0
sink_channel[6] => src6_valid.IN1
sink_channel[6] => sink_ready.IN0
sink_channel[7] => src7_valid.IN1
sink_channel[7] => sink_ready.IN0
sink_channel[8] => src8_valid.IN1
sink_channel[8] => sink_ready.IN0
sink_channel[9] => src9_valid.IN1
sink_channel[9] => sink_ready.IN0
sink_channel[10] => src10_valid.IN1
sink_channel[10] => sink_ready.IN0
sink_channel[11] => src11_valid.IN1
sink_channel[11] => sink_ready.IN0
sink_channel[12] => src12_valid.IN1
sink_channel[12] => sink_ready.IN0
sink_channel[13] => src13_valid.IN1
sink_channel[13] => sink_ready.IN0
sink_channel[14] => src14_valid.IN1
sink_channel[14] => sink_ready.IN0
sink_channel[15] => src15_valid.IN1
sink_channel[15] => sink_ready.IN0
sink_channel[16] => src16_valid.IN1
sink_channel[16] => sink_ready.IN0
sink_channel[17] => src17_valid.IN1
sink_channel[17] => sink_ready.IN0
sink_channel[18] => src18_valid.IN1
sink_channel[18] => sink_ready.IN0
sink_channel[19] => src19_valid.IN1
sink_channel[19] => sink_ready.IN0
sink_channel[20] => src20_valid.IN1
sink_channel[20] => sink_ready.IN0
sink_channel[21] => src21_valid.IN1
sink_channel[21] => sink_ready.IN0
sink_startofpacket => src21_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src2_startofpacket.DATAIN
sink_startofpacket => src3_startofpacket.DATAIN
sink_startofpacket => src4_startofpacket.DATAIN
sink_startofpacket => src5_startofpacket.DATAIN
sink_startofpacket => src6_startofpacket.DATAIN
sink_startofpacket => src7_startofpacket.DATAIN
sink_startofpacket => src8_startofpacket.DATAIN
sink_startofpacket => src9_startofpacket.DATAIN
sink_startofpacket => src10_startofpacket.DATAIN
sink_startofpacket => src11_startofpacket.DATAIN
sink_startofpacket => src12_startofpacket.DATAIN
sink_startofpacket => src13_startofpacket.DATAIN
sink_startofpacket => src14_startofpacket.DATAIN
sink_startofpacket => src15_startofpacket.DATAIN
sink_startofpacket => src16_startofpacket.DATAIN
sink_startofpacket => src17_startofpacket.DATAIN
sink_startofpacket => src18_startofpacket.DATAIN
sink_startofpacket => src19_startofpacket.DATAIN
sink_startofpacket => src20_startofpacket.DATAIN
sink_endofpacket => src21_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src2_endofpacket.DATAIN
sink_endofpacket => src3_endofpacket.DATAIN
sink_endofpacket => src4_endofpacket.DATAIN
sink_endofpacket => src5_endofpacket.DATAIN
sink_endofpacket => src6_endofpacket.DATAIN
sink_endofpacket => src7_endofpacket.DATAIN
sink_endofpacket => src8_endofpacket.DATAIN
sink_endofpacket => src9_endofpacket.DATAIN
sink_endofpacket => src10_endofpacket.DATAIN
sink_endofpacket => src11_endofpacket.DATAIN
sink_endofpacket => src12_endofpacket.DATAIN
sink_endofpacket => src13_endofpacket.DATAIN
sink_endofpacket => src14_endofpacket.DATAIN
sink_endofpacket => src15_endofpacket.DATAIN
sink_endofpacket => src16_endofpacket.DATAIN
sink_endofpacket => src17_endofpacket.DATAIN
sink_endofpacket => src18_endofpacket.DATAIN
sink_endofpacket => src19_endofpacket.DATAIN
sink_endofpacket => src20_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= <GND>
src0_channel[1] <= <GND>
src0_channel[2] <= <GND>
src0_channel[3] <= <GND>
src0_channel[4] <= <GND>
src0_channel[5] <= <GND>
src0_channel[6] <= <GND>
src0_channel[7] <= <GND>
src0_channel[8] <= <GND>
src0_channel[9] <= <GND>
src0_channel[10] <= <GND>
src0_channel[11] <= <GND>
src0_channel[12] <= <GND>
src0_channel[13] <= <GND>
src0_channel[14] <= <GND>
src0_channel[15] <= <GND>
src0_channel[16] <= <GND>
src0_channel[17] <= <GND>
src0_channel[18] <= <GND>
src0_channel[19] <= <GND>
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= <GND>
src1_channel[1] <= <GND>
src1_channel[2] <= <GND>
src1_channel[3] <= <GND>
src1_channel[4] <= <GND>
src1_channel[5] <= <GND>
src1_channel[6] <= <GND>
src1_channel[7] <= <GND>
src1_channel[8] <= <GND>
src1_channel[9] <= <GND>
src1_channel[10] <= <GND>
src1_channel[11] <= <GND>
src1_channel[12] <= <GND>
src1_channel[13] <= <GND>
src1_channel[14] <= <GND>
src1_channel[15] <= <GND>
src1_channel[16] <= <GND>
src1_channel[17] <= <GND>
src1_channel[18] <= <GND>
src1_channel[19] <= <GND>
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
src2_valid <= src2_valid.DB_MAX_OUTPUT_PORT_TYPE
src2_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src2_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src2_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src2_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src2_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src2_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src2_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src2_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src2_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src2_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src2_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src2_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src2_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src2_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src2_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src2_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src2_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src2_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src2_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src2_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src2_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src2_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src2_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src2_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src2_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src2_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src2_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src2_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src2_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src2_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src2_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src2_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src2_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src2_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src2_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src2_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src2_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src2_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src2_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src2_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src2_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src2_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src2_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src2_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src2_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src2_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src2_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src2_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src2_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src2_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src2_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src2_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src2_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src2_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src2_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src2_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src2_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src2_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src2_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src2_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src2_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src2_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src2_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src2_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src2_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src2_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src2_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src2_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src2_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src2_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src2_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src2_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src2_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src2_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src2_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src2_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src2_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src2_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src2_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src2_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src2_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src2_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src2_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src2_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src2_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src2_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src2_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src2_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src2_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src2_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src2_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src2_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src2_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src2_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src2_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src2_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src2_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src2_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src2_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src2_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src2_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src2_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src2_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src2_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src2_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src2_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src2_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src2_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src2_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src2_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src2_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src2_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src2_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src2_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src2_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src2_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src2_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src2_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src2_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src2_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src2_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src2_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src2_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src2_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src2_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src2_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src2_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src2_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src2_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src2_channel[0] <= <GND>
src2_channel[1] <= <GND>
src2_channel[2] <= <GND>
src2_channel[3] <= <GND>
src2_channel[4] <= <GND>
src2_channel[5] <= <GND>
src2_channel[6] <= <GND>
src2_channel[7] <= <GND>
src2_channel[8] <= <GND>
src2_channel[9] <= <GND>
src2_channel[10] <= <GND>
src2_channel[11] <= <GND>
src2_channel[12] <= <GND>
src2_channel[13] <= <GND>
src2_channel[14] <= <GND>
src2_channel[15] <= <GND>
src2_channel[16] <= <GND>
src2_channel[17] <= <GND>
src2_channel[18] <= <GND>
src2_channel[19] <= <GND>
src2_channel[20] <= <GND>
src2_channel[21] <= <GND>
src2_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src2_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src2_ready => sink_ready.IN1
src3_valid <= src3_valid.DB_MAX_OUTPUT_PORT_TYPE
src3_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src3_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src3_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src3_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src3_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src3_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src3_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src3_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src3_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src3_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src3_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src3_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src3_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src3_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src3_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src3_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src3_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src3_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src3_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src3_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src3_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src3_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src3_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src3_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src3_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src3_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src3_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src3_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src3_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src3_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src3_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src3_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src3_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src3_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src3_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src3_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src3_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src3_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src3_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src3_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src3_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src3_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src3_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src3_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src3_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src3_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src3_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src3_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src3_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src3_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src3_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src3_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src3_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src3_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src3_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src3_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src3_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src3_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src3_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src3_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src3_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src3_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src3_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src3_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src3_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src3_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src3_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src3_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src3_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src3_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src3_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src3_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src3_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src3_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src3_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src3_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src3_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src3_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src3_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src3_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src3_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src3_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src3_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src3_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src3_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src3_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src3_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src3_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src3_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src3_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src3_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src3_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src3_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src3_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src3_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src3_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src3_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src3_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src3_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src3_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src3_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src3_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src3_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src3_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src3_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src3_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src3_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src3_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src3_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src3_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src3_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src3_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src3_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src3_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src3_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src3_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src3_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src3_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src3_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src3_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src3_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src3_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src3_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src3_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src3_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src3_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src3_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src3_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src3_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src3_channel[0] <= <GND>
src3_channel[1] <= <GND>
src3_channel[2] <= <GND>
src3_channel[3] <= <GND>
src3_channel[4] <= <GND>
src3_channel[5] <= <GND>
src3_channel[6] <= <GND>
src3_channel[7] <= <GND>
src3_channel[8] <= <GND>
src3_channel[9] <= <GND>
src3_channel[10] <= <GND>
src3_channel[11] <= <GND>
src3_channel[12] <= <GND>
src3_channel[13] <= <GND>
src3_channel[14] <= <GND>
src3_channel[15] <= <GND>
src3_channel[16] <= <GND>
src3_channel[17] <= <GND>
src3_channel[18] <= <GND>
src3_channel[19] <= <GND>
src3_channel[20] <= <GND>
src3_channel[21] <= <GND>
src3_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src3_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src3_ready => sink_ready.IN1
src4_valid <= src4_valid.DB_MAX_OUTPUT_PORT_TYPE
src4_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src4_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src4_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src4_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src4_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src4_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src4_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src4_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src4_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src4_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src4_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src4_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src4_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src4_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src4_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src4_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src4_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src4_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src4_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src4_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src4_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src4_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src4_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src4_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src4_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src4_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src4_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src4_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src4_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src4_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src4_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src4_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src4_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src4_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src4_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src4_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src4_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src4_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src4_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src4_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src4_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src4_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src4_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src4_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src4_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src4_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src4_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src4_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src4_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src4_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src4_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src4_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src4_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src4_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src4_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src4_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src4_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src4_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src4_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src4_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src4_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src4_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src4_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src4_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src4_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src4_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src4_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src4_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src4_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src4_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src4_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src4_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src4_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src4_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src4_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src4_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src4_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src4_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src4_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src4_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src4_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src4_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src4_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src4_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src4_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src4_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src4_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src4_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src4_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src4_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src4_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src4_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src4_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src4_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src4_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src4_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src4_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src4_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src4_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src4_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src4_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src4_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src4_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src4_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src4_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src4_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src4_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src4_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src4_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src4_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src4_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src4_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src4_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src4_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src4_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src4_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src4_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src4_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src4_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src4_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src4_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src4_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src4_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src4_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src4_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src4_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src4_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src4_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src4_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src4_channel[0] <= <GND>
src4_channel[1] <= <GND>
src4_channel[2] <= <GND>
src4_channel[3] <= <GND>
src4_channel[4] <= <GND>
src4_channel[5] <= <GND>
src4_channel[6] <= <GND>
src4_channel[7] <= <GND>
src4_channel[8] <= <GND>
src4_channel[9] <= <GND>
src4_channel[10] <= <GND>
src4_channel[11] <= <GND>
src4_channel[12] <= <GND>
src4_channel[13] <= <GND>
src4_channel[14] <= <GND>
src4_channel[15] <= <GND>
src4_channel[16] <= <GND>
src4_channel[17] <= <GND>
src4_channel[18] <= <GND>
src4_channel[19] <= <GND>
src4_channel[20] <= <GND>
src4_channel[21] <= <GND>
src4_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src4_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src4_ready => sink_ready.IN1
src5_valid <= src5_valid.DB_MAX_OUTPUT_PORT_TYPE
src5_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src5_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src5_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src5_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src5_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src5_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src5_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src5_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src5_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src5_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src5_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src5_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src5_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src5_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src5_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src5_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src5_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src5_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src5_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src5_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src5_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src5_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src5_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src5_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src5_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src5_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src5_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src5_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src5_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src5_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src5_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src5_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src5_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src5_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src5_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src5_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src5_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src5_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src5_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src5_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src5_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src5_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src5_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src5_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src5_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src5_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src5_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src5_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src5_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src5_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src5_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src5_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src5_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src5_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src5_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src5_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src5_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src5_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src5_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src5_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src5_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src5_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src5_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src5_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src5_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src5_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src5_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src5_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src5_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src5_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src5_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src5_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src5_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src5_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src5_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src5_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src5_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src5_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src5_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src5_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src5_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src5_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src5_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src5_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src5_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src5_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src5_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src5_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src5_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src5_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src5_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src5_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src5_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src5_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src5_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src5_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src5_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src5_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src5_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src5_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src5_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src5_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src5_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src5_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src5_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src5_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src5_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src5_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src5_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src5_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src5_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src5_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src5_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src5_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src5_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src5_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src5_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src5_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src5_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src5_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src5_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src5_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src5_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src5_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src5_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src5_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src5_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src5_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src5_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src5_channel[0] <= <GND>
src5_channel[1] <= <GND>
src5_channel[2] <= <GND>
src5_channel[3] <= <GND>
src5_channel[4] <= <GND>
src5_channel[5] <= <GND>
src5_channel[6] <= <GND>
src5_channel[7] <= <GND>
src5_channel[8] <= <GND>
src5_channel[9] <= <GND>
src5_channel[10] <= <GND>
src5_channel[11] <= <GND>
src5_channel[12] <= <GND>
src5_channel[13] <= <GND>
src5_channel[14] <= <GND>
src5_channel[15] <= <GND>
src5_channel[16] <= <GND>
src5_channel[17] <= <GND>
src5_channel[18] <= <GND>
src5_channel[19] <= <GND>
src5_channel[20] <= <GND>
src5_channel[21] <= <GND>
src5_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src5_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src5_ready => sink_ready.IN1
src6_valid <= src6_valid.DB_MAX_OUTPUT_PORT_TYPE
src6_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src6_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src6_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src6_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src6_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src6_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src6_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src6_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src6_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src6_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src6_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src6_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src6_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src6_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src6_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src6_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src6_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src6_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src6_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src6_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src6_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src6_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src6_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src6_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src6_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src6_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src6_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src6_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src6_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src6_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src6_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src6_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src6_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src6_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src6_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src6_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src6_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src6_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src6_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src6_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src6_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src6_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src6_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src6_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src6_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src6_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src6_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src6_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src6_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src6_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src6_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src6_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src6_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src6_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src6_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src6_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src6_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src6_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src6_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src6_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src6_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src6_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src6_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src6_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src6_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src6_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src6_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src6_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src6_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src6_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src6_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src6_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src6_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src6_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src6_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src6_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src6_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src6_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src6_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src6_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src6_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src6_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src6_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src6_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src6_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src6_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src6_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src6_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src6_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src6_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src6_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src6_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src6_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src6_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src6_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src6_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src6_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src6_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src6_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src6_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src6_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src6_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src6_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src6_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src6_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src6_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src6_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src6_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src6_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src6_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src6_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src6_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src6_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src6_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src6_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src6_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src6_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src6_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src6_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src6_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src6_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src6_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src6_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src6_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src6_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src6_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src6_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src6_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src6_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src6_channel[0] <= <GND>
src6_channel[1] <= <GND>
src6_channel[2] <= <GND>
src6_channel[3] <= <GND>
src6_channel[4] <= <GND>
src6_channel[5] <= <GND>
src6_channel[6] <= <GND>
src6_channel[7] <= <GND>
src6_channel[8] <= <GND>
src6_channel[9] <= <GND>
src6_channel[10] <= <GND>
src6_channel[11] <= <GND>
src6_channel[12] <= <GND>
src6_channel[13] <= <GND>
src6_channel[14] <= <GND>
src6_channel[15] <= <GND>
src6_channel[16] <= <GND>
src6_channel[17] <= <GND>
src6_channel[18] <= <GND>
src6_channel[19] <= <GND>
src6_channel[20] <= <GND>
src6_channel[21] <= <GND>
src6_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src6_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src6_ready => sink_ready.IN1
src7_valid <= src7_valid.DB_MAX_OUTPUT_PORT_TYPE
src7_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src7_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src7_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src7_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src7_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src7_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src7_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src7_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src7_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src7_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src7_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src7_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src7_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src7_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src7_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src7_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src7_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src7_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src7_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src7_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src7_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src7_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src7_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src7_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src7_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src7_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src7_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src7_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src7_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src7_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src7_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src7_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src7_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src7_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src7_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src7_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src7_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src7_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src7_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src7_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src7_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src7_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src7_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src7_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src7_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src7_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src7_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src7_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src7_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src7_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src7_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src7_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src7_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src7_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src7_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src7_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src7_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src7_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src7_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src7_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src7_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src7_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src7_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src7_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src7_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src7_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src7_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src7_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src7_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src7_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src7_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src7_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src7_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src7_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src7_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src7_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src7_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src7_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src7_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src7_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src7_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src7_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src7_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src7_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src7_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src7_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src7_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src7_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src7_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src7_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src7_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src7_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src7_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src7_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src7_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src7_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src7_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src7_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src7_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src7_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src7_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src7_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src7_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src7_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src7_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src7_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src7_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src7_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src7_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src7_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src7_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src7_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src7_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src7_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src7_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src7_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src7_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src7_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src7_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src7_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src7_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src7_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src7_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src7_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src7_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src7_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src7_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src7_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src7_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src7_channel[0] <= <GND>
src7_channel[1] <= <GND>
src7_channel[2] <= <GND>
src7_channel[3] <= <GND>
src7_channel[4] <= <GND>
src7_channel[5] <= <GND>
src7_channel[6] <= <GND>
src7_channel[7] <= <GND>
src7_channel[8] <= <GND>
src7_channel[9] <= <GND>
src7_channel[10] <= <GND>
src7_channel[11] <= <GND>
src7_channel[12] <= <GND>
src7_channel[13] <= <GND>
src7_channel[14] <= <GND>
src7_channel[15] <= <GND>
src7_channel[16] <= <GND>
src7_channel[17] <= <GND>
src7_channel[18] <= <GND>
src7_channel[19] <= <GND>
src7_channel[20] <= <GND>
src7_channel[21] <= <GND>
src7_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src7_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src7_ready => sink_ready.IN1
src8_valid <= src8_valid.DB_MAX_OUTPUT_PORT_TYPE
src8_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src8_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src8_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src8_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src8_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src8_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src8_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src8_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src8_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src8_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src8_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src8_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src8_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src8_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src8_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src8_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src8_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src8_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src8_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src8_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src8_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src8_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src8_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src8_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src8_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src8_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src8_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src8_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src8_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src8_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src8_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src8_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src8_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src8_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src8_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src8_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src8_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src8_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src8_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src8_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src8_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src8_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src8_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src8_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src8_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src8_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src8_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src8_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src8_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src8_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src8_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src8_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src8_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src8_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src8_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src8_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src8_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src8_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src8_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src8_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src8_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src8_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src8_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src8_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src8_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src8_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src8_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src8_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src8_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src8_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src8_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src8_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src8_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src8_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src8_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src8_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src8_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src8_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src8_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src8_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src8_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src8_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src8_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src8_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src8_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src8_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src8_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src8_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src8_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src8_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src8_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src8_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src8_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src8_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src8_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src8_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src8_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src8_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src8_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src8_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src8_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src8_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src8_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src8_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src8_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src8_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src8_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src8_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src8_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src8_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src8_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src8_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src8_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src8_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src8_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src8_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src8_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src8_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src8_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src8_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src8_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src8_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src8_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src8_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src8_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src8_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src8_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src8_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src8_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src8_channel[0] <= <GND>
src8_channel[1] <= <GND>
src8_channel[2] <= <GND>
src8_channel[3] <= <GND>
src8_channel[4] <= <GND>
src8_channel[5] <= <GND>
src8_channel[6] <= <GND>
src8_channel[7] <= <GND>
src8_channel[8] <= <GND>
src8_channel[9] <= <GND>
src8_channel[10] <= <GND>
src8_channel[11] <= <GND>
src8_channel[12] <= <GND>
src8_channel[13] <= <GND>
src8_channel[14] <= <GND>
src8_channel[15] <= <GND>
src8_channel[16] <= <GND>
src8_channel[17] <= <GND>
src8_channel[18] <= <GND>
src8_channel[19] <= <GND>
src8_channel[20] <= <GND>
src8_channel[21] <= <GND>
src8_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src8_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src8_ready => sink_ready.IN1
src9_valid <= src9_valid.DB_MAX_OUTPUT_PORT_TYPE
src9_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src9_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src9_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src9_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src9_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src9_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src9_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src9_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src9_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src9_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src9_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src9_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src9_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src9_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src9_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src9_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src9_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src9_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src9_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src9_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src9_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src9_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src9_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src9_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src9_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src9_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src9_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src9_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src9_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src9_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src9_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src9_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src9_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src9_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src9_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src9_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src9_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src9_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src9_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src9_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src9_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src9_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src9_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src9_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src9_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src9_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src9_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src9_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src9_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src9_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src9_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src9_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src9_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src9_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src9_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src9_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src9_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src9_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src9_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src9_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src9_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src9_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src9_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src9_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src9_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src9_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src9_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src9_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src9_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src9_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src9_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src9_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src9_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src9_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src9_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src9_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src9_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src9_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src9_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src9_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src9_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src9_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src9_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src9_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src9_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src9_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src9_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src9_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src9_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src9_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src9_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src9_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src9_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src9_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src9_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src9_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src9_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src9_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src9_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src9_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src9_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src9_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src9_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src9_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src9_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src9_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src9_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src9_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src9_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src9_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src9_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src9_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src9_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src9_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src9_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src9_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src9_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src9_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src9_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src9_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src9_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src9_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src9_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src9_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src9_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src9_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src9_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src9_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src9_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src9_channel[0] <= <GND>
src9_channel[1] <= <GND>
src9_channel[2] <= <GND>
src9_channel[3] <= <GND>
src9_channel[4] <= <GND>
src9_channel[5] <= <GND>
src9_channel[6] <= <GND>
src9_channel[7] <= <GND>
src9_channel[8] <= <GND>
src9_channel[9] <= <GND>
src9_channel[10] <= <GND>
src9_channel[11] <= <GND>
src9_channel[12] <= <GND>
src9_channel[13] <= <GND>
src9_channel[14] <= <GND>
src9_channel[15] <= <GND>
src9_channel[16] <= <GND>
src9_channel[17] <= <GND>
src9_channel[18] <= <GND>
src9_channel[19] <= <GND>
src9_channel[20] <= <GND>
src9_channel[21] <= <GND>
src9_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src9_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src9_ready => sink_ready.IN1
src10_valid <= src10_valid.DB_MAX_OUTPUT_PORT_TYPE
src10_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src10_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src10_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src10_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src10_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src10_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src10_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src10_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src10_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src10_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src10_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src10_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src10_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src10_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src10_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src10_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src10_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src10_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src10_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src10_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src10_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src10_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src10_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src10_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src10_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src10_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src10_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src10_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src10_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src10_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src10_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src10_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src10_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src10_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src10_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src10_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src10_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src10_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src10_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src10_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src10_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src10_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src10_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src10_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src10_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src10_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src10_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src10_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src10_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src10_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src10_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src10_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src10_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src10_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src10_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src10_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src10_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src10_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src10_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src10_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src10_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src10_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src10_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src10_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src10_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src10_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src10_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src10_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src10_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src10_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src10_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src10_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src10_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src10_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src10_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src10_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src10_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src10_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src10_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src10_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src10_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src10_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src10_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src10_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src10_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src10_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src10_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src10_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src10_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src10_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src10_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src10_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src10_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src10_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src10_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src10_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src10_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src10_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src10_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src10_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src10_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src10_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src10_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src10_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src10_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src10_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src10_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src10_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src10_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src10_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src10_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src10_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src10_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src10_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src10_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src10_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src10_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src10_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src10_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src10_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src10_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src10_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src10_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src10_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src10_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src10_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src10_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src10_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src10_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src10_channel[0] <= <GND>
src10_channel[1] <= <GND>
src10_channel[2] <= <GND>
src10_channel[3] <= <GND>
src10_channel[4] <= <GND>
src10_channel[5] <= <GND>
src10_channel[6] <= <GND>
src10_channel[7] <= <GND>
src10_channel[8] <= <GND>
src10_channel[9] <= <GND>
src10_channel[10] <= <GND>
src10_channel[11] <= <GND>
src10_channel[12] <= <GND>
src10_channel[13] <= <GND>
src10_channel[14] <= <GND>
src10_channel[15] <= <GND>
src10_channel[16] <= <GND>
src10_channel[17] <= <GND>
src10_channel[18] <= <GND>
src10_channel[19] <= <GND>
src10_channel[20] <= <GND>
src10_channel[21] <= <GND>
src10_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src10_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src10_ready => sink_ready.IN1
src11_valid <= src11_valid.DB_MAX_OUTPUT_PORT_TYPE
src11_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src11_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src11_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src11_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src11_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src11_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src11_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src11_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src11_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src11_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src11_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src11_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src11_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src11_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src11_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src11_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src11_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src11_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src11_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src11_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src11_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src11_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src11_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src11_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src11_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src11_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src11_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src11_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src11_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src11_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src11_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src11_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src11_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src11_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src11_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src11_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src11_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src11_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src11_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src11_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src11_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src11_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src11_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src11_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src11_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src11_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src11_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src11_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src11_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src11_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src11_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src11_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src11_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src11_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src11_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src11_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src11_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src11_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src11_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src11_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src11_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src11_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src11_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src11_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src11_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src11_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src11_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src11_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src11_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src11_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src11_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src11_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src11_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src11_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src11_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src11_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src11_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src11_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src11_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src11_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src11_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src11_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src11_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src11_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src11_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src11_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src11_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src11_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src11_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src11_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src11_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src11_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src11_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src11_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src11_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src11_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src11_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src11_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src11_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src11_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src11_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src11_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src11_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src11_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src11_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src11_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src11_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src11_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src11_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src11_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src11_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src11_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src11_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src11_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src11_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src11_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src11_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src11_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src11_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src11_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src11_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src11_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src11_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src11_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src11_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src11_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src11_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src11_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src11_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src11_channel[0] <= <GND>
src11_channel[1] <= <GND>
src11_channel[2] <= <GND>
src11_channel[3] <= <GND>
src11_channel[4] <= <GND>
src11_channel[5] <= <GND>
src11_channel[6] <= <GND>
src11_channel[7] <= <GND>
src11_channel[8] <= <GND>
src11_channel[9] <= <GND>
src11_channel[10] <= <GND>
src11_channel[11] <= <GND>
src11_channel[12] <= <GND>
src11_channel[13] <= <GND>
src11_channel[14] <= <GND>
src11_channel[15] <= <GND>
src11_channel[16] <= <GND>
src11_channel[17] <= <GND>
src11_channel[18] <= <GND>
src11_channel[19] <= <GND>
src11_channel[20] <= <GND>
src11_channel[21] <= <GND>
src11_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src11_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src11_ready => sink_ready.IN1
src12_valid <= src12_valid.DB_MAX_OUTPUT_PORT_TYPE
src12_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src12_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src12_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src12_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src12_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src12_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src12_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src12_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src12_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src12_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src12_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src12_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src12_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src12_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src12_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src12_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src12_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src12_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src12_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src12_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src12_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src12_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src12_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src12_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src12_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src12_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src12_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src12_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src12_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src12_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src12_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src12_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src12_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src12_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src12_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src12_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src12_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src12_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src12_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src12_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src12_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src12_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src12_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src12_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src12_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src12_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src12_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src12_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src12_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src12_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src12_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src12_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src12_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src12_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src12_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src12_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src12_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src12_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src12_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src12_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src12_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src12_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src12_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src12_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src12_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src12_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src12_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src12_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src12_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src12_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src12_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src12_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src12_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src12_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src12_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src12_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src12_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src12_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src12_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src12_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src12_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src12_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src12_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src12_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src12_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src12_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src12_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src12_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src12_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src12_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src12_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src12_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src12_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src12_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src12_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src12_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src12_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src12_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src12_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src12_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src12_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src12_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src12_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src12_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src12_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src12_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src12_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src12_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src12_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src12_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src12_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src12_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src12_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src12_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src12_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src12_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src12_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src12_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src12_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src12_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src12_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src12_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src12_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src12_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src12_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src12_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src12_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src12_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src12_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src12_channel[0] <= <GND>
src12_channel[1] <= <GND>
src12_channel[2] <= <GND>
src12_channel[3] <= <GND>
src12_channel[4] <= <GND>
src12_channel[5] <= <GND>
src12_channel[6] <= <GND>
src12_channel[7] <= <GND>
src12_channel[8] <= <GND>
src12_channel[9] <= <GND>
src12_channel[10] <= <GND>
src12_channel[11] <= <GND>
src12_channel[12] <= <GND>
src12_channel[13] <= <GND>
src12_channel[14] <= <GND>
src12_channel[15] <= <GND>
src12_channel[16] <= <GND>
src12_channel[17] <= <GND>
src12_channel[18] <= <GND>
src12_channel[19] <= <GND>
src12_channel[20] <= <GND>
src12_channel[21] <= <GND>
src12_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src12_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src12_ready => sink_ready.IN1
src13_valid <= src13_valid.DB_MAX_OUTPUT_PORT_TYPE
src13_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src13_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src13_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src13_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src13_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src13_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src13_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src13_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src13_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src13_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src13_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src13_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src13_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src13_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src13_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src13_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src13_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src13_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src13_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src13_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src13_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src13_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src13_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src13_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src13_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src13_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src13_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src13_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src13_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src13_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src13_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src13_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src13_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src13_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src13_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src13_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src13_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src13_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src13_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src13_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src13_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src13_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src13_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src13_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src13_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src13_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src13_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src13_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src13_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src13_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src13_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src13_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src13_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src13_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src13_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src13_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src13_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src13_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src13_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src13_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src13_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src13_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src13_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src13_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src13_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src13_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src13_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src13_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src13_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src13_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src13_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src13_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src13_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src13_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src13_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src13_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src13_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src13_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src13_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src13_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src13_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src13_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src13_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src13_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src13_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src13_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src13_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src13_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src13_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src13_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src13_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src13_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src13_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src13_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src13_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src13_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src13_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src13_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src13_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src13_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src13_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src13_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src13_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src13_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src13_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src13_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src13_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src13_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src13_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src13_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src13_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src13_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src13_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src13_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src13_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src13_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src13_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src13_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src13_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src13_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src13_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src13_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src13_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src13_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src13_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src13_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src13_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src13_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src13_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src13_channel[0] <= <GND>
src13_channel[1] <= <GND>
src13_channel[2] <= <GND>
src13_channel[3] <= <GND>
src13_channel[4] <= <GND>
src13_channel[5] <= <GND>
src13_channel[6] <= <GND>
src13_channel[7] <= <GND>
src13_channel[8] <= <GND>
src13_channel[9] <= <GND>
src13_channel[10] <= <GND>
src13_channel[11] <= <GND>
src13_channel[12] <= <GND>
src13_channel[13] <= <GND>
src13_channel[14] <= <GND>
src13_channel[15] <= <GND>
src13_channel[16] <= <GND>
src13_channel[17] <= <GND>
src13_channel[18] <= <GND>
src13_channel[19] <= <GND>
src13_channel[20] <= <GND>
src13_channel[21] <= <GND>
src13_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src13_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src13_ready => sink_ready.IN1
src14_valid <= src14_valid.DB_MAX_OUTPUT_PORT_TYPE
src14_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src14_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src14_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src14_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src14_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src14_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src14_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src14_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src14_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src14_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src14_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src14_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src14_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src14_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src14_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src14_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src14_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src14_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src14_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src14_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src14_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src14_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src14_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src14_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src14_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src14_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src14_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src14_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src14_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src14_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src14_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src14_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src14_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src14_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src14_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src14_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src14_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src14_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src14_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src14_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src14_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src14_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src14_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src14_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src14_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src14_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src14_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src14_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src14_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src14_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src14_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src14_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src14_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src14_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src14_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src14_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src14_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src14_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src14_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src14_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src14_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src14_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src14_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src14_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src14_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src14_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src14_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src14_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src14_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src14_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src14_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src14_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src14_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src14_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src14_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src14_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src14_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src14_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src14_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src14_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src14_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src14_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src14_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src14_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src14_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src14_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src14_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src14_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src14_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src14_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src14_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src14_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src14_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src14_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src14_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src14_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src14_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src14_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src14_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src14_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src14_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src14_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src14_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src14_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src14_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src14_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src14_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src14_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src14_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src14_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src14_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src14_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src14_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src14_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src14_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src14_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src14_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src14_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src14_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src14_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src14_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src14_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src14_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src14_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src14_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src14_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src14_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src14_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src14_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src14_channel[0] <= <GND>
src14_channel[1] <= <GND>
src14_channel[2] <= <GND>
src14_channel[3] <= <GND>
src14_channel[4] <= <GND>
src14_channel[5] <= <GND>
src14_channel[6] <= <GND>
src14_channel[7] <= <GND>
src14_channel[8] <= <GND>
src14_channel[9] <= <GND>
src14_channel[10] <= <GND>
src14_channel[11] <= <GND>
src14_channel[12] <= <GND>
src14_channel[13] <= <GND>
src14_channel[14] <= <GND>
src14_channel[15] <= <GND>
src14_channel[16] <= <GND>
src14_channel[17] <= <GND>
src14_channel[18] <= <GND>
src14_channel[19] <= <GND>
src14_channel[20] <= <GND>
src14_channel[21] <= <GND>
src14_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src14_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src14_ready => sink_ready.IN1
src15_valid <= src15_valid.DB_MAX_OUTPUT_PORT_TYPE
src15_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src15_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src15_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src15_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src15_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src15_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src15_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src15_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src15_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src15_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src15_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src15_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src15_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src15_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src15_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src15_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src15_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src15_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src15_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src15_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src15_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src15_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src15_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src15_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src15_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src15_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src15_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src15_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src15_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src15_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src15_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src15_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src15_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src15_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src15_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src15_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src15_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src15_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src15_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src15_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src15_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src15_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src15_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src15_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src15_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src15_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src15_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src15_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src15_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src15_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src15_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src15_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src15_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src15_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src15_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src15_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src15_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src15_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src15_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src15_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src15_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src15_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src15_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src15_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src15_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src15_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src15_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src15_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src15_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src15_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src15_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src15_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src15_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src15_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src15_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src15_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src15_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src15_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src15_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src15_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src15_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src15_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src15_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src15_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src15_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src15_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src15_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src15_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src15_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src15_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src15_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src15_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src15_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src15_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src15_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src15_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src15_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src15_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src15_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src15_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src15_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src15_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src15_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src15_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src15_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src15_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src15_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src15_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src15_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src15_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src15_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src15_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src15_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src15_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src15_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src15_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src15_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src15_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src15_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src15_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src15_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src15_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src15_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src15_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src15_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src15_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src15_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src15_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src15_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src15_channel[0] <= <GND>
src15_channel[1] <= <GND>
src15_channel[2] <= <GND>
src15_channel[3] <= <GND>
src15_channel[4] <= <GND>
src15_channel[5] <= <GND>
src15_channel[6] <= <GND>
src15_channel[7] <= <GND>
src15_channel[8] <= <GND>
src15_channel[9] <= <GND>
src15_channel[10] <= <GND>
src15_channel[11] <= <GND>
src15_channel[12] <= <GND>
src15_channel[13] <= <GND>
src15_channel[14] <= <GND>
src15_channel[15] <= <GND>
src15_channel[16] <= <GND>
src15_channel[17] <= <GND>
src15_channel[18] <= <GND>
src15_channel[19] <= <GND>
src15_channel[20] <= <GND>
src15_channel[21] <= <GND>
src15_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src15_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src15_ready => sink_ready.IN1
src16_valid <= src16_valid.DB_MAX_OUTPUT_PORT_TYPE
src16_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src16_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src16_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src16_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src16_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src16_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src16_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src16_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src16_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src16_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src16_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src16_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src16_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src16_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src16_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src16_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src16_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src16_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src16_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src16_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src16_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src16_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src16_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src16_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src16_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src16_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src16_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src16_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src16_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src16_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src16_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src16_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src16_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src16_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src16_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src16_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src16_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src16_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src16_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src16_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src16_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src16_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src16_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src16_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src16_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src16_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src16_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src16_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src16_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src16_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src16_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src16_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src16_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src16_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src16_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src16_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src16_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src16_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src16_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src16_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src16_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src16_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src16_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src16_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src16_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src16_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src16_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src16_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src16_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src16_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src16_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src16_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src16_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src16_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src16_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src16_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src16_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src16_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src16_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src16_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src16_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src16_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src16_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src16_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src16_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src16_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src16_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src16_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src16_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src16_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src16_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src16_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src16_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src16_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src16_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src16_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src16_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src16_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src16_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src16_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src16_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src16_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src16_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src16_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src16_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src16_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src16_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src16_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src16_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src16_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src16_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src16_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src16_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src16_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src16_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src16_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src16_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src16_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src16_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src16_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src16_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src16_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src16_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src16_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src16_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src16_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src16_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src16_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src16_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src16_channel[0] <= <GND>
src16_channel[1] <= <GND>
src16_channel[2] <= <GND>
src16_channel[3] <= <GND>
src16_channel[4] <= <GND>
src16_channel[5] <= <GND>
src16_channel[6] <= <GND>
src16_channel[7] <= <GND>
src16_channel[8] <= <GND>
src16_channel[9] <= <GND>
src16_channel[10] <= <GND>
src16_channel[11] <= <GND>
src16_channel[12] <= <GND>
src16_channel[13] <= <GND>
src16_channel[14] <= <GND>
src16_channel[15] <= <GND>
src16_channel[16] <= <GND>
src16_channel[17] <= <GND>
src16_channel[18] <= <GND>
src16_channel[19] <= <GND>
src16_channel[20] <= <GND>
src16_channel[21] <= <GND>
src16_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src16_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src16_ready => sink_ready.IN1
src17_valid <= src17_valid.DB_MAX_OUTPUT_PORT_TYPE
src17_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src17_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src17_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src17_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src17_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src17_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src17_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src17_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src17_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src17_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src17_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src17_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src17_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src17_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src17_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src17_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src17_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src17_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src17_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src17_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src17_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src17_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src17_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src17_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src17_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src17_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src17_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src17_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src17_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src17_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src17_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src17_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src17_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src17_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src17_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src17_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src17_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src17_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src17_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src17_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src17_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src17_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src17_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src17_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src17_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src17_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src17_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src17_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src17_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src17_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src17_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src17_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src17_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src17_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src17_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src17_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src17_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src17_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src17_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src17_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src17_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src17_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src17_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src17_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src17_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src17_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src17_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src17_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src17_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src17_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src17_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src17_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src17_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src17_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src17_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src17_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src17_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src17_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src17_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src17_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src17_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src17_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src17_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src17_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src17_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src17_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src17_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src17_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src17_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src17_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src17_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src17_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src17_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src17_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src17_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src17_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src17_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src17_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src17_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src17_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src17_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src17_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src17_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src17_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src17_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src17_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src17_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src17_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src17_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src17_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src17_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src17_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src17_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src17_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src17_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src17_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src17_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src17_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src17_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src17_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src17_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src17_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src17_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src17_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src17_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src17_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src17_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src17_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src17_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src17_channel[0] <= <GND>
src17_channel[1] <= <GND>
src17_channel[2] <= <GND>
src17_channel[3] <= <GND>
src17_channel[4] <= <GND>
src17_channel[5] <= <GND>
src17_channel[6] <= <GND>
src17_channel[7] <= <GND>
src17_channel[8] <= <GND>
src17_channel[9] <= <GND>
src17_channel[10] <= <GND>
src17_channel[11] <= <GND>
src17_channel[12] <= <GND>
src17_channel[13] <= <GND>
src17_channel[14] <= <GND>
src17_channel[15] <= <GND>
src17_channel[16] <= <GND>
src17_channel[17] <= <GND>
src17_channel[18] <= <GND>
src17_channel[19] <= <GND>
src17_channel[20] <= <GND>
src17_channel[21] <= <GND>
src17_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src17_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src17_ready => sink_ready.IN1
src18_valid <= src18_valid.DB_MAX_OUTPUT_PORT_TYPE
src18_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src18_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src18_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src18_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src18_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src18_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src18_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src18_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src18_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src18_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src18_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src18_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src18_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src18_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src18_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src18_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src18_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src18_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src18_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src18_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src18_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src18_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src18_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src18_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src18_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src18_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src18_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src18_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src18_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src18_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src18_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src18_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src18_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src18_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src18_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src18_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src18_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src18_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src18_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src18_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src18_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src18_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src18_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src18_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src18_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src18_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src18_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src18_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src18_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src18_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src18_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src18_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src18_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src18_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src18_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src18_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src18_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src18_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src18_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src18_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src18_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src18_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src18_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src18_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src18_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src18_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src18_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src18_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src18_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src18_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src18_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src18_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src18_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src18_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src18_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src18_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src18_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src18_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src18_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src18_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src18_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src18_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src18_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src18_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src18_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src18_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src18_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src18_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src18_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src18_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src18_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src18_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src18_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src18_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src18_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src18_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src18_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src18_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src18_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src18_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src18_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src18_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src18_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src18_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src18_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src18_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src18_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src18_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src18_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src18_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src18_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src18_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src18_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src18_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src18_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src18_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src18_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src18_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src18_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src18_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src18_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src18_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src18_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src18_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src18_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src18_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src18_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src18_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src18_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src18_channel[0] <= <GND>
src18_channel[1] <= <GND>
src18_channel[2] <= <GND>
src18_channel[3] <= <GND>
src18_channel[4] <= <GND>
src18_channel[5] <= <GND>
src18_channel[6] <= <GND>
src18_channel[7] <= <GND>
src18_channel[8] <= <GND>
src18_channel[9] <= <GND>
src18_channel[10] <= <GND>
src18_channel[11] <= <GND>
src18_channel[12] <= <GND>
src18_channel[13] <= <GND>
src18_channel[14] <= <GND>
src18_channel[15] <= <GND>
src18_channel[16] <= <GND>
src18_channel[17] <= <GND>
src18_channel[18] <= <GND>
src18_channel[19] <= <GND>
src18_channel[20] <= <GND>
src18_channel[21] <= <GND>
src18_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src18_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src18_ready => sink_ready.IN1
src19_valid <= src19_valid.DB_MAX_OUTPUT_PORT_TYPE
src19_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src19_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src19_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src19_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src19_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src19_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src19_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src19_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src19_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src19_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src19_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src19_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src19_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src19_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src19_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src19_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src19_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src19_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src19_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src19_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src19_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src19_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src19_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src19_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src19_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src19_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src19_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src19_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src19_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src19_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src19_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src19_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src19_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src19_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src19_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src19_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src19_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src19_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src19_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src19_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src19_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src19_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src19_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src19_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src19_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src19_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src19_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src19_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src19_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src19_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src19_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src19_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src19_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src19_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src19_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src19_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src19_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src19_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src19_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src19_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src19_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src19_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src19_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src19_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src19_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src19_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src19_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src19_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src19_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src19_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src19_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src19_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src19_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src19_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src19_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src19_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src19_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src19_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src19_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src19_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src19_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src19_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src19_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src19_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src19_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src19_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src19_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src19_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src19_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src19_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src19_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src19_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src19_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src19_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src19_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src19_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src19_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src19_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src19_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src19_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src19_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src19_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src19_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src19_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src19_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src19_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src19_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src19_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src19_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src19_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src19_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src19_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src19_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src19_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src19_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src19_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src19_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src19_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src19_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src19_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src19_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src19_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src19_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src19_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src19_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src19_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src19_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src19_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src19_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src19_channel[0] <= <GND>
src19_channel[1] <= <GND>
src19_channel[2] <= <GND>
src19_channel[3] <= <GND>
src19_channel[4] <= <GND>
src19_channel[5] <= <GND>
src19_channel[6] <= <GND>
src19_channel[7] <= <GND>
src19_channel[8] <= <GND>
src19_channel[9] <= <GND>
src19_channel[10] <= <GND>
src19_channel[11] <= <GND>
src19_channel[12] <= <GND>
src19_channel[13] <= <GND>
src19_channel[14] <= <GND>
src19_channel[15] <= <GND>
src19_channel[16] <= <GND>
src19_channel[17] <= <GND>
src19_channel[18] <= <GND>
src19_channel[19] <= <GND>
src19_channel[20] <= <GND>
src19_channel[21] <= <GND>
src19_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src19_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src19_ready => sink_ready.IN1
src20_valid <= src20_valid.DB_MAX_OUTPUT_PORT_TYPE
src20_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src20_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src20_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src20_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src20_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src20_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src20_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src20_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src20_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src20_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src20_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src20_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src20_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src20_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src20_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src20_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src20_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src20_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src20_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src20_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src20_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src20_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src20_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src20_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src20_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src20_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src20_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src20_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src20_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src20_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src20_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src20_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src20_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src20_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src20_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src20_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src20_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src20_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src20_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src20_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src20_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src20_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src20_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src20_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src20_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src20_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src20_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src20_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src20_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src20_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src20_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src20_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src20_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src20_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src20_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src20_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src20_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src20_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src20_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src20_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src20_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src20_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src20_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src20_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src20_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src20_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src20_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src20_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src20_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src20_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src20_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src20_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src20_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src20_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src20_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src20_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src20_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src20_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src20_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src20_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src20_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src20_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src20_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src20_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src20_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src20_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src20_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src20_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src20_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src20_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src20_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src20_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src20_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src20_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src20_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src20_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src20_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src20_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src20_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src20_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src20_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src20_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src20_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src20_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src20_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src20_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src20_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src20_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src20_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src20_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src20_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src20_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src20_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src20_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src20_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src20_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src20_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src20_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src20_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src20_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src20_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src20_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src20_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src20_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src20_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src20_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src20_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src20_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src20_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src20_channel[0] <= <GND>
src20_channel[1] <= <GND>
src20_channel[2] <= <GND>
src20_channel[3] <= <GND>
src20_channel[4] <= <GND>
src20_channel[5] <= <GND>
src20_channel[6] <= <GND>
src20_channel[7] <= <GND>
src20_channel[8] <= <GND>
src20_channel[9] <= <GND>
src20_channel[10] <= <GND>
src20_channel[11] <= <GND>
src20_channel[12] <= <GND>
src20_channel[13] <= <GND>
src20_channel[14] <= <GND>
src20_channel[15] <= <GND>
src20_channel[16] <= <GND>
src20_channel[17] <= <GND>
src20_channel[18] <= <GND>
src20_channel[19] <= <GND>
src20_channel[20] <= <GND>
src20_channel[21] <= <GND>
src20_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src20_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src20_ready => sink_ready.IN1
src21_valid <= src21_valid.DB_MAX_OUTPUT_PORT_TYPE
src21_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src21_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src21_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src21_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src21_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src21_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src21_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src21_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src21_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src21_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src21_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src21_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src21_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src21_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src21_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src21_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src21_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src21_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src21_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src21_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src21_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src21_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src21_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src21_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src21_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src21_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src21_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src21_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src21_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src21_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src21_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src21_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src21_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src21_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src21_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src21_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src21_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src21_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src21_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src21_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src21_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src21_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src21_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src21_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src21_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src21_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src21_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src21_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src21_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src21_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src21_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src21_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src21_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src21_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src21_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src21_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src21_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src21_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src21_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src21_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src21_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src21_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src21_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src21_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src21_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src21_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src21_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src21_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src21_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src21_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src21_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src21_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src21_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src21_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src21_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src21_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src21_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src21_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src21_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src21_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src21_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src21_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src21_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src21_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src21_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src21_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src21_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src21_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src21_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src21_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src21_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src21_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src21_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src21_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src21_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src21_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src21_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src21_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src21_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src21_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src21_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src21_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src21_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src21_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src21_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src21_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src21_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src21_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src21_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src21_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src21_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src21_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src21_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src21_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src21_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src21_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src21_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src21_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src21_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src21_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src21_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src21_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src21_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src21_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src21_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src21_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src21_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src21_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src21_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src21_channel[0] <= <GND>
src21_channel[1] <= <GND>
src21_channel[2] <= <GND>
src21_channel[3] <= <GND>
src21_channel[4] <= <GND>
src21_channel[5] <= <GND>
src21_channel[6] <= <GND>
src21_channel[7] <= <GND>
src21_channel[8] <= <GND>
src21_channel[9] <= <GND>
src21_channel[10] <= <GND>
src21_channel[11] <= <GND>
src21_channel[12] <= <GND>
src21_channel[13] <= <GND>
src21_channel[14] <= <GND>
src21_channel[15] <= <GND>
src21_channel[16] <= <GND>
src21_channel[17] <= <GND>
src21_channel[18] <= <GND>
src21_channel[19] <= <GND>
src21_channel[20] <= <GND>
src21_channel[21] <= <GND>
src21_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src21_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src21_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_001
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_001|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_001|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_002
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_002|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_002|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_003
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_003|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_003|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_004
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_004|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_004|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_005
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_005|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_005|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_006
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_006|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_006|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_007
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_007|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_007|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_008
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_008|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_008|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_009
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_009|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_009|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_010
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_010|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_010|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_011
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_011|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_011|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_012
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_012|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_012|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_013
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_013|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_013|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_014
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_014|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_014|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_015
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_015|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_015|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_016
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_016|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_016|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_017
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_017|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_017|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_018
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_018|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_018|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_019
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_019|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_019|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_020
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_020|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_020|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_021
sink0_valid => request.IN1
sink0_valid => src_valid.IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => locked.IN1
sink0_data[70] => src_payload.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request.IN1
sink1_valid => src_valid.IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => locked.IN1
sink1_data[70] => src_payload.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_021|altera_merlin_arbitrator:arb
clk => top_priority_reg[0].CLK
clk => top_priority_reg[1].CLK
reset => top_priority_reg[0].PRESET
reset => top_priority_reg[1].ACLR
request[0] => grant_double_vector[0].IN1
request[0] => grant_double_vector[2].IN1
request[0] => WideOr0.IN0
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant_double_vector[1].IN1
request[1] => grant_double_vector[3].IN1
request[1] => WideOr0.IN1
request[1] => _.IN1
request[1] => _.IN1
grant[0] <= grant.DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= grant.DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => top_priority_reg.OUTPUTSELECT
increment_top_priority => top_priority_reg.OUTPUTSELECT
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_cmd_mux:cmd_mux_021|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => sum.IN0
a[0] => full_adder.cout[0].IN0
a[1] => cout.IN0
a[1] => cout.IN0
a[2] => cout.IN0
a[2] => cout.IN0
a[3] => sum.IN0
b[0] => sum.IN1
b[0] => full_adder.cout[0].IN1
b[1] => cout.IN1
b[1] => cout.IN1
b[2] => cout.IN1
b[2] => cout.IN1
b[3] => sum.IN1
sum[0] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= sum.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= sum.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_001
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_002
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_003
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_004
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_005
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_006
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_007
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_008
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_009
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_010
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_011
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_012
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_013
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_014
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_015
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_016
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_017
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_018
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_019
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_020
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_demux:rsp_demux_021
sink_valid[0] => src0_valid.IN0
sink_valid[0] => src1_valid.IN0
sink_data[0] => src1_data[0].DATAIN
sink_data[0] => src0_data[0].DATAIN
sink_data[1] => src1_data[1].DATAIN
sink_data[1] => src0_data[1].DATAIN
sink_data[2] => src1_data[2].DATAIN
sink_data[2] => src0_data[2].DATAIN
sink_data[3] => src1_data[3].DATAIN
sink_data[3] => src0_data[3].DATAIN
sink_data[4] => src1_data[4].DATAIN
sink_data[4] => src0_data[4].DATAIN
sink_data[5] => src1_data[5].DATAIN
sink_data[5] => src0_data[5].DATAIN
sink_data[6] => src1_data[6].DATAIN
sink_data[6] => src0_data[6].DATAIN
sink_data[7] => src1_data[7].DATAIN
sink_data[7] => src0_data[7].DATAIN
sink_data[8] => src1_data[8].DATAIN
sink_data[8] => src0_data[8].DATAIN
sink_data[9] => src1_data[9].DATAIN
sink_data[9] => src0_data[9].DATAIN
sink_data[10] => src1_data[10].DATAIN
sink_data[10] => src0_data[10].DATAIN
sink_data[11] => src1_data[11].DATAIN
sink_data[11] => src0_data[11].DATAIN
sink_data[12] => src1_data[12].DATAIN
sink_data[12] => src0_data[12].DATAIN
sink_data[13] => src1_data[13].DATAIN
sink_data[13] => src0_data[13].DATAIN
sink_data[14] => src1_data[14].DATAIN
sink_data[14] => src0_data[14].DATAIN
sink_data[15] => src1_data[15].DATAIN
sink_data[15] => src0_data[15].DATAIN
sink_data[16] => src1_data[16].DATAIN
sink_data[16] => src0_data[16].DATAIN
sink_data[17] => src1_data[17].DATAIN
sink_data[17] => src0_data[17].DATAIN
sink_data[18] => src1_data[18].DATAIN
sink_data[18] => src0_data[18].DATAIN
sink_data[19] => src1_data[19].DATAIN
sink_data[19] => src0_data[19].DATAIN
sink_data[20] => src1_data[20].DATAIN
sink_data[20] => src0_data[20].DATAIN
sink_data[21] => src1_data[21].DATAIN
sink_data[21] => src0_data[21].DATAIN
sink_data[22] => src1_data[22].DATAIN
sink_data[22] => src0_data[22].DATAIN
sink_data[23] => src1_data[23].DATAIN
sink_data[23] => src0_data[23].DATAIN
sink_data[24] => src1_data[24].DATAIN
sink_data[24] => src0_data[24].DATAIN
sink_data[25] => src1_data[25].DATAIN
sink_data[25] => src0_data[25].DATAIN
sink_data[26] => src1_data[26].DATAIN
sink_data[26] => src0_data[26].DATAIN
sink_data[27] => src1_data[27].DATAIN
sink_data[27] => src0_data[27].DATAIN
sink_data[28] => src1_data[28].DATAIN
sink_data[28] => src0_data[28].DATAIN
sink_data[29] => src1_data[29].DATAIN
sink_data[29] => src0_data[29].DATAIN
sink_data[30] => src1_data[30].DATAIN
sink_data[30] => src0_data[30].DATAIN
sink_data[31] => src1_data[31].DATAIN
sink_data[31] => src0_data[31].DATAIN
sink_data[32] => src1_data[32].DATAIN
sink_data[32] => src0_data[32].DATAIN
sink_data[33] => src1_data[33].DATAIN
sink_data[33] => src0_data[33].DATAIN
sink_data[34] => src1_data[34].DATAIN
sink_data[34] => src0_data[34].DATAIN
sink_data[35] => src1_data[35].DATAIN
sink_data[35] => src0_data[35].DATAIN
sink_data[36] => src1_data[36].DATAIN
sink_data[36] => src0_data[36].DATAIN
sink_data[37] => src1_data[37].DATAIN
sink_data[37] => src0_data[37].DATAIN
sink_data[38] => src1_data[38].DATAIN
sink_data[38] => src0_data[38].DATAIN
sink_data[39] => src1_data[39].DATAIN
sink_data[39] => src0_data[39].DATAIN
sink_data[40] => src1_data[40].DATAIN
sink_data[40] => src0_data[40].DATAIN
sink_data[41] => src1_data[41].DATAIN
sink_data[41] => src0_data[41].DATAIN
sink_data[42] => src1_data[42].DATAIN
sink_data[42] => src0_data[42].DATAIN
sink_data[43] => src1_data[43].DATAIN
sink_data[43] => src0_data[43].DATAIN
sink_data[44] => src1_data[44].DATAIN
sink_data[44] => src0_data[44].DATAIN
sink_data[45] => src1_data[45].DATAIN
sink_data[45] => src0_data[45].DATAIN
sink_data[46] => src1_data[46].DATAIN
sink_data[46] => src0_data[46].DATAIN
sink_data[47] => src1_data[47].DATAIN
sink_data[47] => src0_data[47].DATAIN
sink_data[48] => src1_data[48].DATAIN
sink_data[48] => src0_data[48].DATAIN
sink_data[49] => src1_data[49].DATAIN
sink_data[49] => src0_data[49].DATAIN
sink_data[50] => src1_data[50].DATAIN
sink_data[50] => src0_data[50].DATAIN
sink_data[51] => src1_data[51].DATAIN
sink_data[51] => src0_data[51].DATAIN
sink_data[52] => src1_data[52].DATAIN
sink_data[52] => src0_data[52].DATAIN
sink_data[53] => src1_data[53].DATAIN
sink_data[53] => src0_data[53].DATAIN
sink_data[54] => src1_data[54].DATAIN
sink_data[54] => src0_data[54].DATAIN
sink_data[55] => src1_data[55].DATAIN
sink_data[55] => src0_data[55].DATAIN
sink_data[56] => src1_data[56].DATAIN
sink_data[56] => src0_data[56].DATAIN
sink_data[57] => src1_data[57].DATAIN
sink_data[57] => src0_data[57].DATAIN
sink_data[58] => src1_data[58].DATAIN
sink_data[58] => src0_data[58].DATAIN
sink_data[59] => src1_data[59].DATAIN
sink_data[59] => src0_data[59].DATAIN
sink_data[60] => src1_data[60].DATAIN
sink_data[60] => src0_data[60].DATAIN
sink_data[61] => src1_data[61].DATAIN
sink_data[61] => src0_data[61].DATAIN
sink_data[62] => src1_data[62].DATAIN
sink_data[62] => src0_data[62].DATAIN
sink_data[63] => src1_data[63].DATAIN
sink_data[63] => src0_data[63].DATAIN
sink_data[64] => src1_data[64].DATAIN
sink_data[64] => src0_data[64].DATAIN
sink_data[65] => src1_data[65].DATAIN
sink_data[65] => src0_data[65].DATAIN
sink_data[66] => src1_data[66].DATAIN
sink_data[66] => src0_data[66].DATAIN
sink_data[67] => src1_data[67].DATAIN
sink_data[67] => src0_data[67].DATAIN
sink_data[68] => src1_data[68].DATAIN
sink_data[68] => src0_data[68].DATAIN
sink_data[69] => src1_data[69].DATAIN
sink_data[69] => src0_data[69].DATAIN
sink_data[70] => src1_data[70].DATAIN
sink_data[70] => src0_data[70].DATAIN
sink_data[71] => src1_data[71].DATAIN
sink_data[71] => src0_data[71].DATAIN
sink_data[72] => src1_data[72].DATAIN
sink_data[72] => src0_data[72].DATAIN
sink_data[73] => src1_data[73].DATAIN
sink_data[73] => src0_data[73].DATAIN
sink_data[74] => src1_data[74].DATAIN
sink_data[74] => src0_data[74].DATAIN
sink_data[75] => src1_data[75].DATAIN
sink_data[75] => src0_data[75].DATAIN
sink_data[76] => src1_data[76].DATAIN
sink_data[76] => src0_data[76].DATAIN
sink_data[77] => src1_data[77].DATAIN
sink_data[77] => src0_data[77].DATAIN
sink_data[78] => src1_data[78].DATAIN
sink_data[78] => src0_data[78].DATAIN
sink_data[79] => src1_data[79].DATAIN
sink_data[79] => src0_data[79].DATAIN
sink_data[80] => src1_data[80].DATAIN
sink_data[80] => src0_data[80].DATAIN
sink_data[81] => src1_data[81].DATAIN
sink_data[81] => src0_data[81].DATAIN
sink_data[82] => src1_data[82].DATAIN
sink_data[82] => src0_data[82].DATAIN
sink_data[83] => src1_data[83].DATAIN
sink_data[83] => src0_data[83].DATAIN
sink_data[84] => src1_data[84].DATAIN
sink_data[84] => src0_data[84].DATAIN
sink_data[85] => src1_data[85].DATAIN
sink_data[85] => src0_data[85].DATAIN
sink_data[86] => src1_data[86].DATAIN
sink_data[86] => src0_data[86].DATAIN
sink_data[87] => src1_data[87].DATAIN
sink_data[87] => src0_data[87].DATAIN
sink_data[88] => src1_data[88].DATAIN
sink_data[88] => src0_data[88].DATAIN
sink_data[89] => src1_data[89].DATAIN
sink_data[89] => src0_data[89].DATAIN
sink_data[90] => src1_data[90].DATAIN
sink_data[90] => src0_data[90].DATAIN
sink_data[91] => src1_data[91].DATAIN
sink_data[91] => src0_data[91].DATAIN
sink_data[92] => src1_data[92].DATAIN
sink_data[92] => src0_data[92].DATAIN
sink_data[93] => src1_data[93].DATAIN
sink_data[93] => src0_data[93].DATAIN
sink_data[94] => src1_data[94].DATAIN
sink_data[94] => src0_data[94].DATAIN
sink_data[95] => src1_data[95].DATAIN
sink_data[95] => src0_data[95].DATAIN
sink_data[96] => src1_data[96].DATAIN
sink_data[96] => src0_data[96].DATAIN
sink_data[97] => src1_data[97].DATAIN
sink_data[97] => src0_data[97].DATAIN
sink_data[98] => src1_data[98].DATAIN
sink_data[98] => src0_data[98].DATAIN
sink_data[99] => src1_data[99].DATAIN
sink_data[99] => src0_data[99].DATAIN
sink_data[100] => src1_data[100].DATAIN
sink_data[100] => src0_data[100].DATAIN
sink_data[101] => src1_data[101].DATAIN
sink_data[101] => src0_data[101].DATAIN
sink_data[102] => src1_data[102].DATAIN
sink_data[102] => src0_data[102].DATAIN
sink_data[103] => src1_data[103].DATAIN
sink_data[103] => src0_data[103].DATAIN
sink_data[104] => src1_data[104].DATAIN
sink_data[104] => src0_data[104].DATAIN
sink_data[105] => src1_data[105].DATAIN
sink_data[105] => src0_data[105].DATAIN
sink_data[106] => src1_data[106].DATAIN
sink_data[106] => src0_data[106].DATAIN
sink_data[107] => src1_data[107].DATAIN
sink_data[107] => src0_data[107].DATAIN
sink_data[108] => src1_data[108].DATAIN
sink_data[108] => src0_data[108].DATAIN
sink_data[109] => src1_data[109].DATAIN
sink_data[109] => src0_data[109].DATAIN
sink_data[110] => src1_data[110].DATAIN
sink_data[110] => src0_data[110].DATAIN
sink_data[111] => src1_data[111].DATAIN
sink_data[111] => src0_data[111].DATAIN
sink_data[112] => src1_data[112].DATAIN
sink_data[112] => src0_data[112].DATAIN
sink_data[113] => src1_data[113].DATAIN
sink_data[113] => src0_data[113].DATAIN
sink_data[114] => src1_data[114].DATAIN
sink_data[114] => src0_data[114].DATAIN
sink_data[115] => src1_data[115].DATAIN
sink_data[115] => src0_data[115].DATAIN
sink_data[116] => src1_data[116].DATAIN
sink_data[116] => src0_data[116].DATAIN
sink_data[117] => src1_data[117].DATAIN
sink_data[117] => src0_data[117].DATAIN
sink_data[118] => src1_data[118].DATAIN
sink_data[118] => src0_data[118].DATAIN
sink_data[119] => src1_data[119].DATAIN
sink_data[119] => src0_data[119].DATAIN
sink_data[120] => src1_data[120].DATAIN
sink_data[120] => src0_data[120].DATAIN
sink_data[121] => src1_data[121].DATAIN
sink_data[121] => src0_data[121].DATAIN
sink_data[122] => src1_data[122].DATAIN
sink_data[122] => src0_data[122].DATAIN
sink_data[123] => src1_data[123].DATAIN
sink_data[123] => src0_data[123].DATAIN
sink_data[124] => src1_data[124].DATAIN
sink_data[124] => src0_data[124].DATAIN
sink_data[125] => src1_data[125].DATAIN
sink_data[125] => src0_data[125].DATAIN
sink_data[126] => src1_data[126].DATAIN
sink_data[126] => src0_data[126].DATAIN
sink_data[127] => src1_data[127].DATAIN
sink_data[127] => src0_data[127].DATAIN
sink_data[128] => src1_data[128].DATAIN
sink_data[128] => src0_data[128].DATAIN
sink_channel[0] => src0_valid.IN1
sink_channel[0] => sink_ready.IN0
sink_channel[1] => src1_valid.IN1
sink_channel[1] => sink_ready.IN0
sink_channel[2] => src1_channel[0].DATAIN
sink_channel[2] => src0_channel[0].DATAIN
sink_channel[3] => src1_channel[1].DATAIN
sink_channel[3] => src0_channel[1].DATAIN
sink_channel[4] => src1_channel[2].DATAIN
sink_channel[4] => src0_channel[2].DATAIN
sink_channel[5] => src1_channel[3].DATAIN
sink_channel[5] => src0_channel[3].DATAIN
sink_channel[6] => src1_channel[4].DATAIN
sink_channel[6] => src0_channel[4].DATAIN
sink_channel[7] => src1_channel[5].DATAIN
sink_channel[7] => src0_channel[5].DATAIN
sink_channel[8] => src1_channel[6].DATAIN
sink_channel[8] => src0_channel[6].DATAIN
sink_channel[9] => src1_channel[7].DATAIN
sink_channel[9] => src0_channel[7].DATAIN
sink_channel[10] => src1_channel[8].DATAIN
sink_channel[10] => src0_channel[8].DATAIN
sink_channel[11] => src1_channel[9].DATAIN
sink_channel[11] => src0_channel[9].DATAIN
sink_channel[12] => src1_channel[10].DATAIN
sink_channel[12] => src0_channel[10].DATAIN
sink_channel[13] => src1_channel[11].DATAIN
sink_channel[13] => src0_channel[11].DATAIN
sink_channel[14] => src1_channel[12].DATAIN
sink_channel[14] => src0_channel[12].DATAIN
sink_channel[15] => src1_channel[13].DATAIN
sink_channel[15] => src0_channel[13].DATAIN
sink_channel[16] => src1_channel[14].DATAIN
sink_channel[16] => src0_channel[14].DATAIN
sink_channel[17] => src1_channel[15].DATAIN
sink_channel[17] => src0_channel[15].DATAIN
sink_channel[18] => src1_channel[16].DATAIN
sink_channel[18] => src0_channel[16].DATAIN
sink_channel[19] => src1_channel[17].DATAIN
sink_channel[19] => src0_channel[17].DATAIN
sink_channel[20] => src1_channel[18].DATAIN
sink_channel[20] => src0_channel[18].DATAIN
sink_channel[21] => src1_channel[19].DATAIN
sink_channel[21] => src0_channel[19].DATAIN
sink_startofpacket => src1_startofpacket.DATAIN
sink_startofpacket => src0_startofpacket.DATAIN
sink_endofpacket => src1_endofpacket.DATAIN
sink_endofpacket => src0_endofpacket.DATAIN
sink_ready <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
src0_valid <= src0_valid.DB_MAX_OUTPUT_PORT_TYPE
src0_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src0_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src0_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src0_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src0_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src0_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src0_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src0_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src0_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src0_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src0_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src0_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src0_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src0_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src0_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src0_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src0_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src0_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src0_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src0_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src0_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src0_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src0_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src0_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src0_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src0_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src0_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src0_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src0_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src0_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src0_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src0_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src0_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src0_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src0_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src0_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src0_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src0_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src0_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src0_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src0_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src0_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src0_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src0_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src0_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src0_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src0_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src0_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src0_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src0_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src0_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src0_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src0_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src0_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src0_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src0_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src0_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src0_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src0_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src0_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src0_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src0_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src0_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src0_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src0_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src0_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src0_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src0_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src0_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src0_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src0_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src0_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src0_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src0_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src0_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src0_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src0_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src0_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src0_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src0_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src0_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src0_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src0_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src0_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src0_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src0_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src0_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src0_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src0_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src0_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src0_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src0_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src0_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src0_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src0_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src0_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src0_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src0_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src0_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src0_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src0_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src0_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src0_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src0_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src0_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src0_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src0_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src0_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src0_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src0_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src0_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src0_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src0_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src0_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src0_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src0_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src0_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src0_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src0_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src0_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src0_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src0_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src0_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src0_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src0_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src0_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src0_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src0_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src0_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src0_channel[20] <= <GND>
src0_channel[21] <= <GND>
src0_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src0_ready => sink_ready.IN1
src1_valid <= src1_valid.DB_MAX_OUTPUT_PORT_TYPE
src1_data[0] <= sink_data[0].DB_MAX_OUTPUT_PORT_TYPE
src1_data[1] <= sink_data[1].DB_MAX_OUTPUT_PORT_TYPE
src1_data[2] <= sink_data[2].DB_MAX_OUTPUT_PORT_TYPE
src1_data[3] <= sink_data[3].DB_MAX_OUTPUT_PORT_TYPE
src1_data[4] <= sink_data[4].DB_MAX_OUTPUT_PORT_TYPE
src1_data[5] <= sink_data[5].DB_MAX_OUTPUT_PORT_TYPE
src1_data[6] <= sink_data[6].DB_MAX_OUTPUT_PORT_TYPE
src1_data[7] <= sink_data[7].DB_MAX_OUTPUT_PORT_TYPE
src1_data[8] <= sink_data[8].DB_MAX_OUTPUT_PORT_TYPE
src1_data[9] <= sink_data[9].DB_MAX_OUTPUT_PORT_TYPE
src1_data[10] <= sink_data[10].DB_MAX_OUTPUT_PORT_TYPE
src1_data[11] <= sink_data[11].DB_MAX_OUTPUT_PORT_TYPE
src1_data[12] <= sink_data[12].DB_MAX_OUTPUT_PORT_TYPE
src1_data[13] <= sink_data[13].DB_MAX_OUTPUT_PORT_TYPE
src1_data[14] <= sink_data[14].DB_MAX_OUTPUT_PORT_TYPE
src1_data[15] <= sink_data[15].DB_MAX_OUTPUT_PORT_TYPE
src1_data[16] <= sink_data[16].DB_MAX_OUTPUT_PORT_TYPE
src1_data[17] <= sink_data[17].DB_MAX_OUTPUT_PORT_TYPE
src1_data[18] <= sink_data[18].DB_MAX_OUTPUT_PORT_TYPE
src1_data[19] <= sink_data[19].DB_MAX_OUTPUT_PORT_TYPE
src1_data[20] <= sink_data[20].DB_MAX_OUTPUT_PORT_TYPE
src1_data[21] <= sink_data[21].DB_MAX_OUTPUT_PORT_TYPE
src1_data[22] <= sink_data[22].DB_MAX_OUTPUT_PORT_TYPE
src1_data[23] <= sink_data[23].DB_MAX_OUTPUT_PORT_TYPE
src1_data[24] <= sink_data[24].DB_MAX_OUTPUT_PORT_TYPE
src1_data[25] <= sink_data[25].DB_MAX_OUTPUT_PORT_TYPE
src1_data[26] <= sink_data[26].DB_MAX_OUTPUT_PORT_TYPE
src1_data[27] <= sink_data[27].DB_MAX_OUTPUT_PORT_TYPE
src1_data[28] <= sink_data[28].DB_MAX_OUTPUT_PORT_TYPE
src1_data[29] <= sink_data[29].DB_MAX_OUTPUT_PORT_TYPE
src1_data[30] <= sink_data[30].DB_MAX_OUTPUT_PORT_TYPE
src1_data[31] <= sink_data[31].DB_MAX_OUTPUT_PORT_TYPE
src1_data[32] <= sink_data[32].DB_MAX_OUTPUT_PORT_TYPE
src1_data[33] <= sink_data[33].DB_MAX_OUTPUT_PORT_TYPE
src1_data[34] <= sink_data[34].DB_MAX_OUTPUT_PORT_TYPE
src1_data[35] <= sink_data[35].DB_MAX_OUTPUT_PORT_TYPE
src1_data[36] <= sink_data[36].DB_MAX_OUTPUT_PORT_TYPE
src1_data[37] <= sink_data[37].DB_MAX_OUTPUT_PORT_TYPE
src1_data[38] <= sink_data[38].DB_MAX_OUTPUT_PORT_TYPE
src1_data[39] <= sink_data[39].DB_MAX_OUTPUT_PORT_TYPE
src1_data[40] <= sink_data[40].DB_MAX_OUTPUT_PORT_TYPE
src1_data[41] <= sink_data[41].DB_MAX_OUTPUT_PORT_TYPE
src1_data[42] <= sink_data[42].DB_MAX_OUTPUT_PORT_TYPE
src1_data[43] <= sink_data[43].DB_MAX_OUTPUT_PORT_TYPE
src1_data[44] <= sink_data[44].DB_MAX_OUTPUT_PORT_TYPE
src1_data[45] <= sink_data[45].DB_MAX_OUTPUT_PORT_TYPE
src1_data[46] <= sink_data[46].DB_MAX_OUTPUT_PORT_TYPE
src1_data[47] <= sink_data[47].DB_MAX_OUTPUT_PORT_TYPE
src1_data[48] <= sink_data[48].DB_MAX_OUTPUT_PORT_TYPE
src1_data[49] <= sink_data[49].DB_MAX_OUTPUT_PORT_TYPE
src1_data[50] <= sink_data[50].DB_MAX_OUTPUT_PORT_TYPE
src1_data[51] <= sink_data[51].DB_MAX_OUTPUT_PORT_TYPE
src1_data[52] <= sink_data[52].DB_MAX_OUTPUT_PORT_TYPE
src1_data[53] <= sink_data[53].DB_MAX_OUTPUT_PORT_TYPE
src1_data[54] <= sink_data[54].DB_MAX_OUTPUT_PORT_TYPE
src1_data[55] <= sink_data[55].DB_MAX_OUTPUT_PORT_TYPE
src1_data[56] <= sink_data[56].DB_MAX_OUTPUT_PORT_TYPE
src1_data[57] <= sink_data[57].DB_MAX_OUTPUT_PORT_TYPE
src1_data[58] <= sink_data[58].DB_MAX_OUTPUT_PORT_TYPE
src1_data[59] <= sink_data[59].DB_MAX_OUTPUT_PORT_TYPE
src1_data[60] <= sink_data[60].DB_MAX_OUTPUT_PORT_TYPE
src1_data[61] <= sink_data[61].DB_MAX_OUTPUT_PORT_TYPE
src1_data[62] <= sink_data[62].DB_MAX_OUTPUT_PORT_TYPE
src1_data[63] <= sink_data[63].DB_MAX_OUTPUT_PORT_TYPE
src1_data[64] <= sink_data[64].DB_MAX_OUTPUT_PORT_TYPE
src1_data[65] <= sink_data[65].DB_MAX_OUTPUT_PORT_TYPE
src1_data[66] <= sink_data[66].DB_MAX_OUTPUT_PORT_TYPE
src1_data[67] <= sink_data[67].DB_MAX_OUTPUT_PORT_TYPE
src1_data[68] <= sink_data[68].DB_MAX_OUTPUT_PORT_TYPE
src1_data[69] <= sink_data[69].DB_MAX_OUTPUT_PORT_TYPE
src1_data[70] <= sink_data[70].DB_MAX_OUTPUT_PORT_TYPE
src1_data[71] <= sink_data[71].DB_MAX_OUTPUT_PORT_TYPE
src1_data[72] <= sink_data[72].DB_MAX_OUTPUT_PORT_TYPE
src1_data[73] <= sink_data[73].DB_MAX_OUTPUT_PORT_TYPE
src1_data[74] <= sink_data[74].DB_MAX_OUTPUT_PORT_TYPE
src1_data[75] <= sink_data[75].DB_MAX_OUTPUT_PORT_TYPE
src1_data[76] <= sink_data[76].DB_MAX_OUTPUT_PORT_TYPE
src1_data[77] <= sink_data[77].DB_MAX_OUTPUT_PORT_TYPE
src1_data[78] <= sink_data[78].DB_MAX_OUTPUT_PORT_TYPE
src1_data[79] <= sink_data[79].DB_MAX_OUTPUT_PORT_TYPE
src1_data[80] <= sink_data[80].DB_MAX_OUTPUT_PORT_TYPE
src1_data[81] <= sink_data[81].DB_MAX_OUTPUT_PORT_TYPE
src1_data[82] <= sink_data[82].DB_MAX_OUTPUT_PORT_TYPE
src1_data[83] <= sink_data[83].DB_MAX_OUTPUT_PORT_TYPE
src1_data[84] <= sink_data[84].DB_MAX_OUTPUT_PORT_TYPE
src1_data[85] <= sink_data[85].DB_MAX_OUTPUT_PORT_TYPE
src1_data[86] <= sink_data[86].DB_MAX_OUTPUT_PORT_TYPE
src1_data[87] <= sink_data[87].DB_MAX_OUTPUT_PORT_TYPE
src1_data[88] <= sink_data[88].DB_MAX_OUTPUT_PORT_TYPE
src1_data[89] <= sink_data[89].DB_MAX_OUTPUT_PORT_TYPE
src1_data[90] <= sink_data[90].DB_MAX_OUTPUT_PORT_TYPE
src1_data[91] <= sink_data[91].DB_MAX_OUTPUT_PORT_TYPE
src1_data[92] <= sink_data[92].DB_MAX_OUTPUT_PORT_TYPE
src1_data[93] <= sink_data[93].DB_MAX_OUTPUT_PORT_TYPE
src1_data[94] <= sink_data[94].DB_MAX_OUTPUT_PORT_TYPE
src1_data[95] <= sink_data[95].DB_MAX_OUTPUT_PORT_TYPE
src1_data[96] <= sink_data[96].DB_MAX_OUTPUT_PORT_TYPE
src1_data[97] <= sink_data[97].DB_MAX_OUTPUT_PORT_TYPE
src1_data[98] <= sink_data[98].DB_MAX_OUTPUT_PORT_TYPE
src1_data[99] <= sink_data[99].DB_MAX_OUTPUT_PORT_TYPE
src1_data[100] <= sink_data[100].DB_MAX_OUTPUT_PORT_TYPE
src1_data[101] <= sink_data[101].DB_MAX_OUTPUT_PORT_TYPE
src1_data[102] <= sink_data[102].DB_MAX_OUTPUT_PORT_TYPE
src1_data[103] <= sink_data[103].DB_MAX_OUTPUT_PORT_TYPE
src1_data[104] <= sink_data[104].DB_MAX_OUTPUT_PORT_TYPE
src1_data[105] <= sink_data[105].DB_MAX_OUTPUT_PORT_TYPE
src1_data[106] <= sink_data[106].DB_MAX_OUTPUT_PORT_TYPE
src1_data[107] <= sink_data[107].DB_MAX_OUTPUT_PORT_TYPE
src1_data[108] <= sink_data[108].DB_MAX_OUTPUT_PORT_TYPE
src1_data[109] <= sink_data[109].DB_MAX_OUTPUT_PORT_TYPE
src1_data[110] <= sink_data[110].DB_MAX_OUTPUT_PORT_TYPE
src1_data[111] <= sink_data[111].DB_MAX_OUTPUT_PORT_TYPE
src1_data[112] <= sink_data[112].DB_MAX_OUTPUT_PORT_TYPE
src1_data[113] <= sink_data[113].DB_MAX_OUTPUT_PORT_TYPE
src1_data[114] <= sink_data[114].DB_MAX_OUTPUT_PORT_TYPE
src1_data[115] <= sink_data[115].DB_MAX_OUTPUT_PORT_TYPE
src1_data[116] <= sink_data[116].DB_MAX_OUTPUT_PORT_TYPE
src1_data[117] <= sink_data[117].DB_MAX_OUTPUT_PORT_TYPE
src1_data[118] <= sink_data[118].DB_MAX_OUTPUT_PORT_TYPE
src1_data[119] <= sink_data[119].DB_MAX_OUTPUT_PORT_TYPE
src1_data[120] <= sink_data[120].DB_MAX_OUTPUT_PORT_TYPE
src1_data[121] <= sink_data[121].DB_MAX_OUTPUT_PORT_TYPE
src1_data[122] <= sink_data[122].DB_MAX_OUTPUT_PORT_TYPE
src1_data[123] <= sink_data[123].DB_MAX_OUTPUT_PORT_TYPE
src1_data[124] <= sink_data[124].DB_MAX_OUTPUT_PORT_TYPE
src1_data[125] <= sink_data[125].DB_MAX_OUTPUT_PORT_TYPE
src1_data[126] <= sink_data[126].DB_MAX_OUTPUT_PORT_TYPE
src1_data[127] <= sink_data[127].DB_MAX_OUTPUT_PORT_TYPE
src1_data[128] <= sink_data[128].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[0] <= sink_channel[2].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[1] <= sink_channel[3].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[2] <= sink_channel[4].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[3] <= sink_channel[5].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[4] <= sink_channel[6].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[5] <= sink_channel[7].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[6] <= sink_channel[8].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[7] <= sink_channel[9].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[8] <= sink_channel[10].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[9] <= sink_channel[11].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[10] <= sink_channel[12].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[11] <= sink_channel[13].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[12] <= sink_channel[14].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[13] <= sink_channel[15].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[14] <= sink_channel[16].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[15] <= sink_channel[17].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[16] <= sink_channel[18].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[17] <= sink_channel[19].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[18] <= sink_channel[20].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[19] <= sink_channel[21].DB_MAX_OUTPUT_PORT_TYPE
src1_channel[20] <= <GND>
src1_channel[21] <= <GND>
src1_startofpacket <= sink_startofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_endofpacket <= sink_endofpacket.DB_MAX_OUTPUT_PORT_TYPE
src1_ready => sink_ready.IN1
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux
sink0_valid => request[0].IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => src_payload.IN1
sink0_data[70] => last_cycle.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request[1].IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => src_payload.IN1
sink1_data[70] => last_cycle.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
sink2_valid => request[2].IN1
sink2_data[0] => src_payload.IN1
sink2_data[1] => src_payload.IN1
sink2_data[2] => src_payload.IN1
sink2_data[3] => src_payload.IN1
sink2_data[4] => src_payload.IN1
sink2_data[5] => src_payload.IN1
sink2_data[6] => src_payload.IN1
sink2_data[7] => src_payload.IN1
sink2_data[8] => src_payload.IN1
sink2_data[9] => src_payload.IN1
sink2_data[10] => src_payload.IN1
sink2_data[11] => src_payload.IN1
sink2_data[12] => src_payload.IN1
sink2_data[13] => src_payload.IN1
sink2_data[14] => src_payload.IN1
sink2_data[15] => src_payload.IN1
sink2_data[16] => src_payload.IN1
sink2_data[17] => src_payload.IN1
sink2_data[18] => src_payload.IN1
sink2_data[19] => src_payload.IN1
sink2_data[20] => src_payload.IN1
sink2_data[21] => src_payload.IN1
sink2_data[22] => src_payload.IN1
sink2_data[23] => src_payload.IN1
sink2_data[24] => src_payload.IN1
sink2_data[25] => src_payload.IN1
sink2_data[26] => src_payload.IN1
sink2_data[27] => src_payload.IN1
sink2_data[28] => src_payload.IN1
sink2_data[29] => src_payload.IN1
sink2_data[30] => src_payload.IN1
sink2_data[31] => src_payload.IN1
sink2_data[32] => src_payload.IN1
sink2_data[33] => src_payload.IN1
sink2_data[34] => src_payload.IN1
sink2_data[35] => src_payload.IN1
sink2_data[36] => src_payload.IN1
sink2_data[37] => src_payload.IN1
sink2_data[38] => src_payload.IN1
sink2_data[39] => src_payload.IN1
sink2_data[40] => src_payload.IN1
sink2_data[41] => src_payload.IN1
sink2_data[42] => src_payload.IN1
sink2_data[43] => src_payload.IN1
sink2_data[44] => src_payload.IN1
sink2_data[45] => src_payload.IN1
sink2_data[46] => src_payload.IN1
sink2_data[47] => src_payload.IN1
sink2_data[48] => src_payload.IN1
sink2_data[49] => src_payload.IN1
sink2_data[50] => src_payload.IN1
sink2_data[51] => src_payload.IN1
sink2_data[52] => src_payload.IN1
sink2_data[53] => src_payload.IN1
sink2_data[54] => src_payload.IN1
sink2_data[55] => src_payload.IN1
sink2_data[56] => src_payload.IN1
sink2_data[57] => src_payload.IN1
sink2_data[58] => src_payload.IN1
sink2_data[59] => src_payload.IN1
sink2_data[60] => src_payload.IN1
sink2_data[61] => src_payload.IN1
sink2_data[62] => src_payload.IN1
sink2_data[63] => src_payload.IN1
sink2_data[64] => src_payload.IN1
sink2_data[65] => src_payload.IN1
sink2_data[66] => src_payload.IN1
sink2_data[67] => src_payload.IN1
sink2_data[68] => src_payload.IN1
sink2_data[69] => src_payload.IN1
sink2_data[70] => src_payload.IN1
sink2_data[70] => last_cycle.IN1
sink2_data[71] => src_payload.IN1
sink2_data[72] => src_payload.IN1
sink2_data[73] => src_payload.IN1
sink2_data[74] => src_payload.IN1
sink2_data[75] => src_payload.IN1
sink2_data[76] => src_payload.IN1
sink2_data[77] => src_payload.IN1
sink2_data[78] => src_payload.IN1
sink2_data[79] => src_payload.IN1
sink2_data[80] => src_payload.IN1
sink2_data[81] => src_payload.IN1
sink2_data[82] => src_payload.IN1
sink2_data[83] => src_payload.IN1
sink2_data[84] => src_payload.IN1
sink2_data[85] => src_payload.IN1
sink2_data[86] => src_payload.IN1
sink2_data[87] => src_payload.IN1
sink2_data[88] => src_payload.IN1
sink2_data[89] => src_payload.IN1
sink2_data[90] => src_payload.IN1
sink2_data[91] => src_payload.IN1
sink2_data[92] => src_payload.IN1
sink2_data[93] => src_payload.IN1
sink2_data[94] => src_payload.IN1
sink2_data[95] => src_payload.IN1
sink2_data[96] => src_payload.IN1
sink2_data[97] => src_payload.IN1
sink2_data[98] => src_payload.IN1
sink2_data[99] => src_payload.IN1
sink2_data[100] => src_payload.IN1
sink2_data[101] => src_payload.IN1
sink2_data[102] => src_payload.IN1
sink2_data[103] => src_payload.IN1
sink2_data[104] => src_payload.IN1
sink2_data[105] => src_payload.IN1
sink2_data[106] => src_payload.IN1
sink2_data[107] => src_payload.IN1
sink2_data[108] => src_payload.IN1
sink2_data[109] => src_payload.IN1
sink2_data[110] => src_payload.IN1
sink2_data[111] => src_payload.IN1
sink2_data[112] => src_payload.IN1
sink2_data[113] => src_payload.IN1
sink2_data[114] => src_payload.IN1
sink2_data[115] => src_payload.IN1
sink2_data[116] => src_payload.IN1
sink2_data[117] => src_payload.IN1
sink2_data[118] => src_payload.IN1
sink2_data[119] => src_payload.IN1
sink2_data[120] => src_payload.IN1
sink2_data[121] => src_payload.IN1
sink2_data[122] => src_payload.IN1
sink2_data[123] => src_payload.IN1
sink2_data[124] => src_payload.IN1
sink2_data[125] => src_payload.IN1
sink2_data[126] => src_payload.IN1
sink2_data[127] => src_payload.IN1
sink2_data[128] => src_payload.IN1
sink2_channel[0] => src_payload.IN1
sink2_channel[1] => src_payload.IN1
sink2_channel[2] => src_payload.IN1
sink2_channel[3] => src_payload.IN1
sink2_channel[4] => src_payload.IN1
sink2_channel[5] => src_payload.IN1
sink2_channel[6] => src_payload.IN1
sink2_channel[7] => src_payload.IN1
sink2_channel[8] => src_payload.IN1
sink2_channel[9] => src_payload.IN1
sink2_channel[10] => src_payload.IN1
sink2_channel[11] => src_payload.IN1
sink2_channel[12] => src_payload.IN1
sink2_channel[13] => src_payload.IN1
sink2_channel[14] => src_payload.IN1
sink2_channel[15] => src_payload.IN1
sink2_channel[16] => src_payload.IN1
sink2_channel[17] => src_payload.IN1
sink2_channel[18] => src_payload.IN1
sink2_channel[19] => src_payload.IN1
sink2_channel[20] => src_payload.IN1
sink2_channel[21] => src_payload.IN1
sink2_startofpacket => src_payload.IN1
sink2_endofpacket => src_payload.IN1
sink2_ready <= sink2_ready.DB_MAX_OUTPUT_PORT_TYPE
sink3_valid => request[3].IN1
sink3_data[0] => src_payload.IN1
sink3_data[1] => src_payload.IN1
sink3_data[2] => src_payload.IN1
sink3_data[3] => src_payload.IN1
sink3_data[4] => src_payload.IN1
sink3_data[5] => src_payload.IN1
sink3_data[6] => src_payload.IN1
sink3_data[7] => src_payload.IN1
sink3_data[8] => src_payload.IN1
sink3_data[9] => src_payload.IN1
sink3_data[10] => src_payload.IN1
sink3_data[11] => src_payload.IN1
sink3_data[12] => src_payload.IN1
sink3_data[13] => src_payload.IN1
sink3_data[14] => src_payload.IN1
sink3_data[15] => src_payload.IN1
sink3_data[16] => src_payload.IN1
sink3_data[17] => src_payload.IN1
sink3_data[18] => src_payload.IN1
sink3_data[19] => src_payload.IN1
sink3_data[20] => src_payload.IN1
sink3_data[21] => src_payload.IN1
sink3_data[22] => src_payload.IN1
sink3_data[23] => src_payload.IN1
sink3_data[24] => src_payload.IN1
sink3_data[25] => src_payload.IN1
sink3_data[26] => src_payload.IN1
sink3_data[27] => src_payload.IN1
sink3_data[28] => src_payload.IN1
sink3_data[29] => src_payload.IN1
sink3_data[30] => src_payload.IN1
sink3_data[31] => src_payload.IN1
sink3_data[32] => src_payload.IN1
sink3_data[33] => src_payload.IN1
sink3_data[34] => src_payload.IN1
sink3_data[35] => src_payload.IN1
sink3_data[36] => src_payload.IN1
sink3_data[37] => src_payload.IN1
sink3_data[38] => src_payload.IN1
sink3_data[39] => src_payload.IN1
sink3_data[40] => src_payload.IN1
sink3_data[41] => src_payload.IN1
sink3_data[42] => src_payload.IN1
sink3_data[43] => src_payload.IN1
sink3_data[44] => src_payload.IN1
sink3_data[45] => src_payload.IN1
sink3_data[46] => src_payload.IN1
sink3_data[47] => src_payload.IN1
sink3_data[48] => src_payload.IN1
sink3_data[49] => src_payload.IN1
sink3_data[50] => src_payload.IN1
sink3_data[51] => src_payload.IN1
sink3_data[52] => src_payload.IN1
sink3_data[53] => src_payload.IN1
sink3_data[54] => src_payload.IN1
sink3_data[55] => src_payload.IN1
sink3_data[56] => src_payload.IN1
sink3_data[57] => src_payload.IN1
sink3_data[58] => src_payload.IN1
sink3_data[59] => src_payload.IN1
sink3_data[60] => src_payload.IN1
sink3_data[61] => src_payload.IN1
sink3_data[62] => src_payload.IN1
sink3_data[63] => src_payload.IN1
sink3_data[64] => src_payload.IN1
sink3_data[65] => src_payload.IN1
sink3_data[66] => src_payload.IN1
sink3_data[67] => src_payload.IN1
sink3_data[68] => src_payload.IN1
sink3_data[69] => src_payload.IN1
sink3_data[70] => src_payload.IN1
sink3_data[70] => last_cycle.IN1
sink3_data[71] => src_payload.IN1
sink3_data[72] => src_payload.IN1
sink3_data[73] => src_payload.IN1
sink3_data[74] => src_payload.IN1
sink3_data[75] => src_payload.IN1
sink3_data[76] => src_payload.IN1
sink3_data[77] => src_payload.IN1
sink3_data[78] => src_payload.IN1
sink3_data[79] => src_payload.IN1
sink3_data[80] => src_payload.IN1
sink3_data[81] => src_payload.IN1
sink3_data[82] => src_payload.IN1
sink3_data[83] => src_payload.IN1
sink3_data[84] => src_payload.IN1
sink3_data[85] => src_payload.IN1
sink3_data[86] => src_payload.IN1
sink3_data[87] => src_payload.IN1
sink3_data[88] => src_payload.IN1
sink3_data[89] => src_payload.IN1
sink3_data[90] => src_payload.IN1
sink3_data[91] => src_payload.IN1
sink3_data[92] => src_payload.IN1
sink3_data[93] => src_payload.IN1
sink3_data[94] => src_payload.IN1
sink3_data[95] => src_payload.IN1
sink3_data[96] => src_payload.IN1
sink3_data[97] => src_payload.IN1
sink3_data[98] => src_payload.IN1
sink3_data[99] => src_payload.IN1
sink3_data[100] => src_payload.IN1
sink3_data[101] => src_payload.IN1
sink3_data[102] => src_payload.IN1
sink3_data[103] => src_payload.IN1
sink3_data[104] => src_payload.IN1
sink3_data[105] => src_payload.IN1
sink3_data[106] => src_payload.IN1
sink3_data[107] => src_payload.IN1
sink3_data[108] => src_payload.IN1
sink3_data[109] => src_payload.IN1
sink3_data[110] => src_payload.IN1
sink3_data[111] => src_payload.IN1
sink3_data[112] => src_payload.IN1
sink3_data[113] => src_payload.IN1
sink3_data[114] => src_payload.IN1
sink3_data[115] => src_payload.IN1
sink3_data[116] => src_payload.IN1
sink3_data[117] => src_payload.IN1
sink3_data[118] => src_payload.IN1
sink3_data[119] => src_payload.IN1
sink3_data[120] => src_payload.IN1
sink3_data[121] => src_payload.IN1
sink3_data[122] => src_payload.IN1
sink3_data[123] => src_payload.IN1
sink3_data[124] => src_payload.IN1
sink3_data[125] => src_payload.IN1
sink3_data[126] => src_payload.IN1
sink3_data[127] => src_payload.IN1
sink3_data[128] => src_payload.IN1
sink3_channel[0] => src_payload.IN1
sink3_channel[1] => src_payload.IN1
sink3_channel[2] => src_payload.IN1
sink3_channel[3] => src_payload.IN1
sink3_channel[4] => src_payload.IN1
sink3_channel[5] => src_payload.IN1
sink3_channel[6] => src_payload.IN1
sink3_channel[7] => src_payload.IN1
sink3_channel[8] => src_payload.IN1
sink3_channel[9] => src_payload.IN1
sink3_channel[10] => src_payload.IN1
sink3_channel[11] => src_payload.IN1
sink3_channel[12] => src_payload.IN1
sink3_channel[13] => src_payload.IN1
sink3_channel[14] => src_payload.IN1
sink3_channel[15] => src_payload.IN1
sink3_channel[16] => src_payload.IN1
sink3_channel[17] => src_payload.IN1
sink3_channel[18] => src_payload.IN1
sink3_channel[19] => src_payload.IN1
sink3_channel[20] => src_payload.IN1
sink3_channel[21] => src_payload.IN1
sink3_startofpacket => src_payload.IN1
sink3_endofpacket => src_payload.IN1
sink3_ready <= sink3_ready.DB_MAX_OUTPUT_PORT_TYPE
sink4_valid => request[4].IN1
sink4_data[0] => src_payload.IN1
sink4_data[1] => src_payload.IN1
sink4_data[2] => src_payload.IN1
sink4_data[3] => src_payload.IN1
sink4_data[4] => src_payload.IN1
sink4_data[5] => src_payload.IN1
sink4_data[6] => src_payload.IN1
sink4_data[7] => src_payload.IN1
sink4_data[8] => src_payload.IN1
sink4_data[9] => src_payload.IN1
sink4_data[10] => src_payload.IN1
sink4_data[11] => src_payload.IN1
sink4_data[12] => src_payload.IN1
sink4_data[13] => src_payload.IN1
sink4_data[14] => src_payload.IN1
sink4_data[15] => src_payload.IN1
sink4_data[16] => src_payload.IN1
sink4_data[17] => src_payload.IN1
sink4_data[18] => src_payload.IN1
sink4_data[19] => src_payload.IN1
sink4_data[20] => src_payload.IN1
sink4_data[21] => src_payload.IN1
sink4_data[22] => src_payload.IN1
sink4_data[23] => src_payload.IN1
sink4_data[24] => src_payload.IN1
sink4_data[25] => src_payload.IN1
sink4_data[26] => src_payload.IN1
sink4_data[27] => src_payload.IN1
sink4_data[28] => src_payload.IN1
sink4_data[29] => src_payload.IN1
sink4_data[30] => src_payload.IN1
sink4_data[31] => src_payload.IN1
sink4_data[32] => src_payload.IN1
sink4_data[33] => src_payload.IN1
sink4_data[34] => src_payload.IN1
sink4_data[35] => src_payload.IN1
sink4_data[36] => src_payload.IN1
sink4_data[37] => src_payload.IN1
sink4_data[38] => src_payload.IN1
sink4_data[39] => src_payload.IN1
sink4_data[40] => src_payload.IN1
sink4_data[41] => src_payload.IN1
sink4_data[42] => src_payload.IN1
sink4_data[43] => src_payload.IN1
sink4_data[44] => src_payload.IN1
sink4_data[45] => src_payload.IN1
sink4_data[46] => src_payload.IN1
sink4_data[47] => src_payload.IN1
sink4_data[48] => src_payload.IN1
sink4_data[49] => src_payload.IN1
sink4_data[50] => src_payload.IN1
sink4_data[51] => src_payload.IN1
sink4_data[52] => src_payload.IN1
sink4_data[53] => src_payload.IN1
sink4_data[54] => src_payload.IN1
sink4_data[55] => src_payload.IN1
sink4_data[56] => src_payload.IN1
sink4_data[57] => src_payload.IN1
sink4_data[58] => src_payload.IN1
sink4_data[59] => src_payload.IN1
sink4_data[60] => src_payload.IN1
sink4_data[61] => src_payload.IN1
sink4_data[62] => src_payload.IN1
sink4_data[63] => src_payload.IN1
sink4_data[64] => src_payload.IN1
sink4_data[65] => src_payload.IN1
sink4_data[66] => src_payload.IN1
sink4_data[67] => src_payload.IN1
sink4_data[68] => src_payload.IN1
sink4_data[69] => src_payload.IN1
sink4_data[70] => src_payload.IN1
sink4_data[70] => last_cycle.IN1
sink4_data[71] => src_payload.IN1
sink4_data[72] => src_payload.IN1
sink4_data[73] => src_payload.IN1
sink4_data[74] => src_payload.IN1
sink4_data[75] => src_payload.IN1
sink4_data[76] => src_payload.IN1
sink4_data[77] => src_payload.IN1
sink4_data[78] => src_payload.IN1
sink4_data[79] => src_payload.IN1
sink4_data[80] => src_payload.IN1
sink4_data[81] => src_payload.IN1
sink4_data[82] => src_payload.IN1
sink4_data[83] => src_payload.IN1
sink4_data[84] => src_payload.IN1
sink4_data[85] => src_payload.IN1
sink4_data[86] => src_payload.IN1
sink4_data[87] => src_payload.IN1
sink4_data[88] => src_payload.IN1
sink4_data[89] => src_payload.IN1
sink4_data[90] => src_payload.IN1
sink4_data[91] => src_payload.IN1
sink4_data[92] => src_payload.IN1
sink4_data[93] => src_payload.IN1
sink4_data[94] => src_payload.IN1
sink4_data[95] => src_payload.IN1
sink4_data[96] => src_payload.IN1
sink4_data[97] => src_payload.IN1
sink4_data[98] => src_payload.IN1
sink4_data[99] => src_payload.IN1
sink4_data[100] => src_payload.IN1
sink4_data[101] => src_payload.IN1
sink4_data[102] => src_payload.IN1
sink4_data[103] => src_payload.IN1
sink4_data[104] => src_payload.IN1
sink4_data[105] => src_payload.IN1
sink4_data[106] => src_payload.IN1
sink4_data[107] => src_payload.IN1
sink4_data[108] => src_payload.IN1
sink4_data[109] => src_payload.IN1
sink4_data[110] => src_payload.IN1
sink4_data[111] => src_payload.IN1
sink4_data[112] => src_payload.IN1
sink4_data[113] => src_payload.IN1
sink4_data[114] => src_payload.IN1
sink4_data[115] => src_payload.IN1
sink4_data[116] => src_payload.IN1
sink4_data[117] => src_payload.IN1
sink4_data[118] => src_payload.IN1
sink4_data[119] => src_payload.IN1
sink4_data[120] => src_payload.IN1
sink4_data[121] => src_payload.IN1
sink4_data[122] => src_payload.IN1
sink4_data[123] => src_payload.IN1
sink4_data[124] => src_payload.IN1
sink4_data[125] => src_payload.IN1
sink4_data[126] => src_payload.IN1
sink4_data[127] => src_payload.IN1
sink4_data[128] => src_payload.IN1
sink4_channel[0] => src_payload.IN1
sink4_channel[1] => src_payload.IN1
sink4_channel[2] => src_payload.IN1
sink4_channel[3] => src_payload.IN1
sink4_channel[4] => src_payload.IN1
sink4_channel[5] => src_payload.IN1
sink4_channel[6] => src_payload.IN1
sink4_channel[7] => src_payload.IN1
sink4_channel[8] => src_payload.IN1
sink4_channel[9] => src_payload.IN1
sink4_channel[10] => src_payload.IN1
sink4_channel[11] => src_payload.IN1
sink4_channel[12] => src_payload.IN1
sink4_channel[13] => src_payload.IN1
sink4_channel[14] => src_payload.IN1
sink4_channel[15] => src_payload.IN1
sink4_channel[16] => src_payload.IN1
sink4_channel[17] => src_payload.IN1
sink4_channel[18] => src_payload.IN1
sink4_channel[19] => src_payload.IN1
sink4_channel[20] => src_payload.IN1
sink4_channel[21] => src_payload.IN1
sink4_startofpacket => src_payload.IN1
sink4_endofpacket => src_payload.IN1
sink4_ready <= sink4_ready.DB_MAX_OUTPUT_PORT_TYPE
sink5_valid => request[5].IN1
sink5_data[0] => src_payload.IN1
sink5_data[1] => src_payload.IN1
sink5_data[2] => src_payload.IN1
sink5_data[3] => src_payload.IN1
sink5_data[4] => src_payload.IN1
sink5_data[5] => src_payload.IN1
sink5_data[6] => src_payload.IN1
sink5_data[7] => src_payload.IN1
sink5_data[8] => src_payload.IN1
sink5_data[9] => src_payload.IN1
sink5_data[10] => src_payload.IN1
sink5_data[11] => src_payload.IN1
sink5_data[12] => src_payload.IN1
sink5_data[13] => src_payload.IN1
sink5_data[14] => src_payload.IN1
sink5_data[15] => src_payload.IN1
sink5_data[16] => src_payload.IN1
sink5_data[17] => src_payload.IN1
sink5_data[18] => src_payload.IN1
sink5_data[19] => src_payload.IN1
sink5_data[20] => src_payload.IN1
sink5_data[21] => src_payload.IN1
sink5_data[22] => src_payload.IN1
sink5_data[23] => src_payload.IN1
sink5_data[24] => src_payload.IN1
sink5_data[25] => src_payload.IN1
sink5_data[26] => src_payload.IN1
sink5_data[27] => src_payload.IN1
sink5_data[28] => src_payload.IN1
sink5_data[29] => src_payload.IN1
sink5_data[30] => src_payload.IN1
sink5_data[31] => src_payload.IN1
sink5_data[32] => src_payload.IN1
sink5_data[33] => src_payload.IN1
sink5_data[34] => src_payload.IN1
sink5_data[35] => src_payload.IN1
sink5_data[36] => src_payload.IN1
sink5_data[37] => src_payload.IN1
sink5_data[38] => src_payload.IN1
sink5_data[39] => src_payload.IN1
sink5_data[40] => src_payload.IN1
sink5_data[41] => src_payload.IN1
sink5_data[42] => src_payload.IN1
sink5_data[43] => src_payload.IN1
sink5_data[44] => src_payload.IN1
sink5_data[45] => src_payload.IN1
sink5_data[46] => src_payload.IN1
sink5_data[47] => src_payload.IN1
sink5_data[48] => src_payload.IN1
sink5_data[49] => src_payload.IN1
sink5_data[50] => src_payload.IN1
sink5_data[51] => src_payload.IN1
sink5_data[52] => src_payload.IN1
sink5_data[53] => src_payload.IN1
sink5_data[54] => src_payload.IN1
sink5_data[55] => src_payload.IN1
sink5_data[56] => src_payload.IN1
sink5_data[57] => src_payload.IN1
sink5_data[58] => src_payload.IN1
sink5_data[59] => src_payload.IN1
sink5_data[60] => src_payload.IN1
sink5_data[61] => src_payload.IN1
sink5_data[62] => src_payload.IN1
sink5_data[63] => src_payload.IN1
sink5_data[64] => src_payload.IN1
sink5_data[65] => src_payload.IN1
sink5_data[66] => src_payload.IN1
sink5_data[67] => src_payload.IN1
sink5_data[68] => src_payload.IN1
sink5_data[69] => src_payload.IN1
sink5_data[70] => src_payload.IN1
sink5_data[70] => last_cycle.IN1
sink5_data[71] => src_payload.IN1
sink5_data[72] => src_payload.IN1
sink5_data[73] => src_payload.IN1
sink5_data[74] => src_payload.IN1
sink5_data[75] => src_payload.IN1
sink5_data[76] => src_payload.IN1
sink5_data[77] => src_payload.IN1
sink5_data[78] => src_payload.IN1
sink5_data[79] => src_payload.IN1
sink5_data[80] => src_payload.IN1
sink5_data[81] => src_payload.IN1
sink5_data[82] => src_payload.IN1
sink5_data[83] => src_payload.IN1
sink5_data[84] => src_payload.IN1
sink5_data[85] => src_payload.IN1
sink5_data[86] => src_payload.IN1
sink5_data[87] => src_payload.IN1
sink5_data[88] => src_payload.IN1
sink5_data[89] => src_payload.IN1
sink5_data[90] => src_payload.IN1
sink5_data[91] => src_payload.IN1
sink5_data[92] => src_payload.IN1
sink5_data[93] => src_payload.IN1
sink5_data[94] => src_payload.IN1
sink5_data[95] => src_payload.IN1
sink5_data[96] => src_payload.IN1
sink5_data[97] => src_payload.IN1
sink5_data[98] => src_payload.IN1
sink5_data[99] => src_payload.IN1
sink5_data[100] => src_payload.IN1
sink5_data[101] => src_payload.IN1
sink5_data[102] => src_payload.IN1
sink5_data[103] => src_payload.IN1
sink5_data[104] => src_payload.IN1
sink5_data[105] => src_payload.IN1
sink5_data[106] => src_payload.IN1
sink5_data[107] => src_payload.IN1
sink5_data[108] => src_payload.IN1
sink5_data[109] => src_payload.IN1
sink5_data[110] => src_payload.IN1
sink5_data[111] => src_payload.IN1
sink5_data[112] => src_payload.IN1
sink5_data[113] => src_payload.IN1
sink5_data[114] => src_payload.IN1
sink5_data[115] => src_payload.IN1
sink5_data[116] => src_payload.IN1
sink5_data[117] => src_payload.IN1
sink5_data[118] => src_payload.IN1
sink5_data[119] => src_payload.IN1
sink5_data[120] => src_payload.IN1
sink5_data[121] => src_payload.IN1
sink5_data[122] => src_payload.IN1
sink5_data[123] => src_payload.IN1
sink5_data[124] => src_payload.IN1
sink5_data[125] => src_payload.IN1
sink5_data[126] => src_payload.IN1
sink5_data[127] => src_payload.IN1
sink5_data[128] => src_payload.IN1
sink5_channel[0] => src_payload.IN1
sink5_channel[1] => src_payload.IN1
sink5_channel[2] => src_payload.IN1
sink5_channel[3] => src_payload.IN1
sink5_channel[4] => src_payload.IN1
sink5_channel[5] => src_payload.IN1
sink5_channel[6] => src_payload.IN1
sink5_channel[7] => src_payload.IN1
sink5_channel[8] => src_payload.IN1
sink5_channel[9] => src_payload.IN1
sink5_channel[10] => src_payload.IN1
sink5_channel[11] => src_payload.IN1
sink5_channel[12] => src_payload.IN1
sink5_channel[13] => src_payload.IN1
sink5_channel[14] => src_payload.IN1
sink5_channel[15] => src_payload.IN1
sink5_channel[16] => src_payload.IN1
sink5_channel[17] => src_payload.IN1
sink5_channel[18] => src_payload.IN1
sink5_channel[19] => src_payload.IN1
sink5_channel[20] => src_payload.IN1
sink5_channel[21] => src_payload.IN1
sink5_startofpacket => src_payload.IN1
sink5_endofpacket => src_payload.IN1
sink5_ready <= sink5_ready.DB_MAX_OUTPUT_PORT_TYPE
sink6_valid => request[6].IN1
sink6_data[0] => src_payload.IN1
sink6_data[1] => src_payload.IN1
sink6_data[2] => src_payload.IN1
sink6_data[3] => src_payload.IN1
sink6_data[4] => src_payload.IN1
sink6_data[5] => src_payload.IN1
sink6_data[6] => src_payload.IN1
sink6_data[7] => src_payload.IN1
sink6_data[8] => src_payload.IN1
sink6_data[9] => src_payload.IN1
sink6_data[10] => src_payload.IN1
sink6_data[11] => src_payload.IN1
sink6_data[12] => src_payload.IN1
sink6_data[13] => src_payload.IN1
sink6_data[14] => src_payload.IN1
sink6_data[15] => src_payload.IN1
sink6_data[16] => src_payload.IN1
sink6_data[17] => src_payload.IN1
sink6_data[18] => src_payload.IN1
sink6_data[19] => src_payload.IN1
sink6_data[20] => src_payload.IN1
sink6_data[21] => src_payload.IN1
sink6_data[22] => src_payload.IN1
sink6_data[23] => src_payload.IN1
sink6_data[24] => src_payload.IN1
sink6_data[25] => src_payload.IN1
sink6_data[26] => src_payload.IN1
sink6_data[27] => src_payload.IN1
sink6_data[28] => src_payload.IN1
sink6_data[29] => src_payload.IN1
sink6_data[30] => src_payload.IN1
sink6_data[31] => src_payload.IN1
sink6_data[32] => src_payload.IN1
sink6_data[33] => src_payload.IN1
sink6_data[34] => src_payload.IN1
sink6_data[35] => src_payload.IN1
sink6_data[36] => src_payload.IN1
sink6_data[37] => src_payload.IN1
sink6_data[38] => src_payload.IN1
sink6_data[39] => src_payload.IN1
sink6_data[40] => src_payload.IN1
sink6_data[41] => src_payload.IN1
sink6_data[42] => src_payload.IN1
sink6_data[43] => src_payload.IN1
sink6_data[44] => src_payload.IN1
sink6_data[45] => src_payload.IN1
sink6_data[46] => src_payload.IN1
sink6_data[47] => src_payload.IN1
sink6_data[48] => src_payload.IN1
sink6_data[49] => src_payload.IN1
sink6_data[50] => src_payload.IN1
sink6_data[51] => src_payload.IN1
sink6_data[52] => src_payload.IN1
sink6_data[53] => src_payload.IN1
sink6_data[54] => src_payload.IN1
sink6_data[55] => src_payload.IN1
sink6_data[56] => src_payload.IN1
sink6_data[57] => src_payload.IN1
sink6_data[58] => src_payload.IN1
sink6_data[59] => src_payload.IN1
sink6_data[60] => src_payload.IN1
sink6_data[61] => src_payload.IN1
sink6_data[62] => src_payload.IN1
sink6_data[63] => src_payload.IN1
sink6_data[64] => src_payload.IN1
sink6_data[65] => src_payload.IN1
sink6_data[66] => src_payload.IN1
sink6_data[67] => src_payload.IN1
sink6_data[68] => src_payload.IN1
sink6_data[69] => src_payload.IN1
sink6_data[70] => src_payload.IN1
sink6_data[70] => last_cycle.IN1
sink6_data[71] => src_payload.IN1
sink6_data[72] => src_payload.IN1
sink6_data[73] => src_payload.IN1
sink6_data[74] => src_payload.IN1
sink6_data[75] => src_payload.IN1
sink6_data[76] => src_payload.IN1
sink6_data[77] => src_payload.IN1
sink6_data[78] => src_payload.IN1
sink6_data[79] => src_payload.IN1
sink6_data[80] => src_payload.IN1
sink6_data[81] => src_payload.IN1
sink6_data[82] => src_payload.IN1
sink6_data[83] => src_payload.IN1
sink6_data[84] => src_payload.IN1
sink6_data[85] => src_payload.IN1
sink6_data[86] => src_payload.IN1
sink6_data[87] => src_payload.IN1
sink6_data[88] => src_payload.IN1
sink6_data[89] => src_payload.IN1
sink6_data[90] => src_payload.IN1
sink6_data[91] => src_payload.IN1
sink6_data[92] => src_payload.IN1
sink6_data[93] => src_payload.IN1
sink6_data[94] => src_payload.IN1
sink6_data[95] => src_payload.IN1
sink6_data[96] => src_payload.IN1
sink6_data[97] => src_payload.IN1
sink6_data[98] => src_payload.IN1
sink6_data[99] => src_payload.IN1
sink6_data[100] => src_payload.IN1
sink6_data[101] => src_payload.IN1
sink6_data[102] => src_payload.IN1
sink6_data[103] => src_payload.IN1
sink6_data[104] => src_payload.IN1
sink6_data[105] => src_payload.IN1
sink6_data[106] => src_payload.IN1
sink6_data[107] => src_payload.IN1
sink6_data[108] => src_payload.IN1
sink6_data[109] => src_payload.IN1
sink6_data[110] => src_payload.IN1
sink6_data[111] => src_payload.IN1
sink6_data[112] => src_payload.IN1
sink6_data[113] => src_payload.IN1
sink6_data[114] => src_payload.IN1
sink6_data[115] => src_payload.IN1
sink6_data[116] => src_payload.IN1
sink6_data[117] => src_payload.IN1
sink6_data[118] => src_payload.IN1
sink6_data[119] => src_payload.IN1
sink6_data[120] => src_payload.IN1
sink6_data[121] => src_payload.IN1
sink6_data[122] => src_payload.IN1
sink6_data[123] => src_payload.IN1
sink6_data[124] => src_payload.IN1
sink6_data[125] => src_payload.IN1
sink6_data[126] => src_payload.IN1
sink6_data[127] => src_payload.IN1
sink6_data[128] => src_payload.IN1
sink6_channel[0] => src_payload.IN1
sink6_channel[1] => src_payload.IN1
sink6_channel[2] => src_payload.IN1
sink6_channel[3] => src_payload.IN1
sink6_channel[4] => src_payload.IN1
sink6_channel[5] => src_payload.IN1
sink6_channel[6] => src_payload.IN1
sink6_channel[7] => src_payload.IN1
sink6_channel[8] => src_payload.IN1
sink6_channel[9] => src_payload.IN1
sink6_channel[10] => src_payload.IN1
sink6_channel[11] => src_payload.IN1
sink6_channel[12] => src_payload.IN1
sink6_channel[13] => src_payload.IN1
sink6_channel[14] => src_payload.IN1
sink6_channel[15] => src_payload.IN1
sink6_channel[16] => src_payload.IN1
sink6_channel[17] => src_payload.IN1
sink6_channel[18] => src_payload.IN1
sink6_channel[19] => src_payload.IN1
sink6_channel[20] => src_payload.IN1
sink6_channel[21] => src_payload.IN1
sink6_startofpacket => src_payload.IN1
sink6_endofpacket => src_payload.IN1
sink6_ready <= sink6_ready.DB_MAX_OUTPUT_PORT_TYPE
sink7_valid => request[7].IN1
sink7_data[0] => src_payload.IN1
sink7_data[1] => src_payload.IN1
sink7_data[2] => src_payload.IN1
sink7_data[3] => src_payload.IN1
sink7_data[4] => src_payload.IN1
sink7_data[5] => src_payload.IN1
sink7_data[6] => src_payload.IN1
sink7_data[7] => src_payload.IN1
sink7_data[8] => src_payload.IN1
sink7_data[9] => src_payload.IN1
sink7_data[10] => src_payload.IN1
sink7_data[11] => src_payload.IN1
sink7_data[12] => src_payload.IN1
sink7_data[13] => src_payload.IN1
sink7_data[14] => src_payload.IN1
sink7_data[15] => src_payload.IN1
sink7_data[16] => src_payload.IN1
sink7_data[17] => src_payload.IN1
sink7_data[18] => src_payload.IN1
sink7_data[19] => src_payload.IN1
sink7_data[20] => src_payload.IN1
sink7_data[21] => src_payload.IN1
sink7_data[22] => src_payload.IN1
sink7_data[23] => src_payload.IN1
sink7_data[24] => src_payload.IN1
sink7_data[25] => src_payload.IN1
sink7_data[26] => src_payload.IN1
sink7_data[27] => src_payload.IN1
sink7_data[28] => src_payload.IN1
sink7_data[29] => src_payload.IN1
sink7_data[30] => src_payload.IN1
sink7_data[31] => src_payload.IN1
sink7_data[32] => src_payload.IN1
sink7_data[33] => src_payload.IN1
sink7_data[34] => src_payload.IN1
sink7_data[35] => src_payload.IN1
sink7_data[36] => src_payload.IN1
sink7_data[37] => src_payload.IN1
sink7_data[38] => src_payload.IN1
sink7_data[39] => src_payload.IN1
sink7_data[40] => src_payload.IN1
sink7_data[41] => src_payload.IN1
sink7_data[42] => src_payload.IN1
sink7_data[43] => src_payload.IN1
sink7_data[44] => src_payload.IN1
sink7_data[45] => src_payload.IN1
sink7_data[46] => src_payload.IN1
sink7_data[47] => src_payload.IN1
sink7_data[48] => src_payload.IN1
sink7_data[49] => src_payload.IN1
sink7_data[50] => src_payload.IN1
sink7_data[51] => src_payload.IN1
sink7_data[52] => src_payload.IN1
sink7_data[53] => src_payload.IN1
sink7_data[54] => src_payload.IN1
sink7_data[55] => src_payload.IN1
sink7_data[56] => src_payload.IN1
sink7_data[57] => src_payload.IN1
sink7_data[58] => src_payload.IN1
sink7_data[59] => src_payload.IN1
sink7_data[60] => src_payload.IN1
sink7_data[61] => src_payload.IN1
sink7_data[62] => src_payload.IN1
sink7_data[63] => src_payload.IN1
sink7_data[64] => src_payload.IN1
sink7_data[65] => src_payload.IN1
sink7_data[66] => src_payload.IN1
sink7_data[67] => src_payload.IN1
sink7_data[68] => src_payload.IN1
sink7_data[69] => src_payload.IN1
sink7_data[70] => src_payload.IN1
sink7_data[70] => last_cycle.IN1
sink7_data[71] => src_payload.IN1
sink7_data[72] => src_payload.IN1
sink7_data[73] => src_payload.IN1
sink7_data[74] => src_payload.IN1
sink7_data[75] => src_payload.IN1
sink7_data[76] => src_payload.IN1
sink7_data[77] => src_payload.IN1
sink7_data[78] => src_payload.IN1
sink7_data[79] => src_payload.IN1
sink7_data[80] => src_payload.IN1
sink7_data[81] => src_payload.IN1
sink7_data[82] => src_payload.IN1
sink7_data[83] => src_payload.IN1
sink7_data[84] => src_payload.IN1
sink7_data[85] => src_payload.IN1
sink7_data[86] => src_payload.IN1
sink7_data[87] => src_payload.IN1
sink7_data[88] => src_payload.IN1
sink7_data[89] => src_payload.IN1
sink7_data[90] => src_payload.IN1
sink7_data[91] => src_payload.IN1
sink7_data[92] => src_payload.IN1
sink7_data[93] => src_payload.IN1
sink7_data[94] => src_payload.IN1
sink7_data[95] => src_payload.IN1
sink7_data[96] => src_payload.IN1
sink7_data[97] => src_payload.IN1
sink7_data[98] => src_payload.IN1
sink7_data[99] => src_payload.IN1
sink7_data[100] => src_payload.IN1
sink7_data[101] => src_payload.IN1
sink7_data[102] => src_payload.IN1
sink7_data[103] => src_payload.IN1
sink7_data[104] => src_payload.IN1
sink7_data[105] => src_payload.IN1
sink7_data[106] => src_payload.IN1
sink7_data[107] => src_payload.IN1
sink7_data[108] => src_payload.IN1
sink7_data[109] => src_payload.IN1
sink7_data[110] => src_payload.IN1
sink7_data[111] => src_payload.IN1
sink7_data[112] => src_payload.IN1
sink7_data[113] => src_payload.IN1
sink7_data[114] => src_payload.IN1
sink7_data[115] => src_payload.IN1
sink7_data[116] => src_payload.IN1
sink7_data[117] => src_payload.IN1
sink7_data[118] => src_payload.IN1
sink7_data[119] => src_payload.IN1
sink7_data[120] => src_payload.IN1
sink7_data[121] => src_payload.IN1
sink7_data[122] => src_payload.IN1
sink7_data[123] => src_payload.IN1
sink7_data[124] => src_payload.IN1
sink7_data[125] => src_payload.IN1
sink7_data[126] => src_payload.IN1
sink7_data[127] => src_payload.IN1
sink7_data[128] => src_payload.IN1
sink7_channel[0] => src_payload.IN1
sink7_channel[1] => src_payload.IN1
sink7_channel[2] => src_payload.IN1
sink7_channel[3] => src_payload.IN1
sink7_channel[4] => src_payload.IN1
sink7_channel[5] => src_payload.IN1
sink7_channel[6] => src_payload.IN1
sink7_channel[7] => src_payload.IN1
sink7_channel[8] => src_payload.IN1
sink7_channel[9] => src_payload.IN1
sink7_channel[10] => src_payload.IN1
sink7_channel[11] => src_payload.IN1
sink7_channel[12] => src_payload.IN1
sink7_channel[13] => src_payload.IN1
sink7_channel[14] => src_payload.IN1
sink7_channel[15] => src_payload.IN1
sink7_channel[16] => src_payload.IN1
sink7_channel[17] => src_payload.IN1
sink7_channel[18] => src_payload.IN1
sink7_channel[19] => src_payload.IN1
sink7_channel[20] => src_payload.IN1
sink7_channel[21] => src_payload.IN1
sink7_startofpacket => src_payload.IN1
sink7_endofpacket => src_payload.IN1
sink7_ready <= sink7_ready.DB_MAX_OUTPUT_PORT_TYPE
sink8_valid => request[8].IN1
sink8_data[0] => src_payload.IN1
sink8_data[1] => src_payload.IN1
sink8_data[2] => src_payload.IN1
sink8_data[3] => src_payload.IN1
sink8_data[4] => src_payload.IN1
sink8_data[5] => src_payload.IN1
sink8_data[6] => src_payload.IN1
sink8_data[7] => src_payload.IN1
sink8_data[8] => src_payload.IN1
sink8_data[9] => src_payload.IN1
sink8_data[10] => src_payload.IN1
sink8_data[11] => src_payload.IN1
sink8_data[12] => src_payload.IN1
sink8_data[13] => src_payload.IN1
sink8_data[14] => src_payload.IN1
sink8_data[15] => src_payload.IN1
sink8_data[16] => src_payload.IN1
sink8_data[17] => src_payload.IN1
sink8_data[18] => src_payload.IN1
sink8_data[19] => src_payload.IN1
sink8_data[20] => src_payload.IN1
sink8_data[21] => src_payload.IN1
sink8_data[22] => src_payload.IN1
sink8_data[23] => src_payload.IN1
sink8_data[24] => src_payload.IN1
sink8_data[25] => src_payload.IN1
sink8_data[26] => src_payload.IN1
sink8_data[27] => src_payload.IN1
sink8_data[28] => src_payload.IN1
sink8_data[29] => src_payload.IN1
sink8_data[30] => src_payload.IN1
sink8_data[31] => src_payload.IN1
sink8_data[32] => src_payload.IN1
sink8_data[33] => src_payload.IN1
sink8_data[34] => src_payload.IN1
sink8_data[35] => src_payload.IN1
sink8_data[36] => src_payload.IN1
sink8_data[37] => src_payload.IN1
sink8_data[38] => src_payload.IN1
sink8_data[39] => src_payload.IN1
sink8_data[40] => src_payload.IN1
sink8_data[41] => src_payload.IN1
sink8_data[42] => src_payload.IN1
sink8_data[43] => src_payload.IN1
sink8_data[44] => src_payload.IN1
sink8_data[45] => src_payload.IN1
sink8_data[46] => src_payload.IN1
sink8_data[47] => src_payload.IN1
sink8_data[48] => src_payload.IN1
sink8_data[49] => src_payload.IN1
sink8_data[50] => src_payload.IN1
sink8_data[51] => src_payload.IN1
sink8_data[52] => src_payload.IN1
sink8_data[53] => src_payload.IN1
sink8_data[54] => src_payload.IN1
sink8_data[55] => src_payload.IN1
sink8_data[56] => src_payload.IN1
sink8_data[57] => src_payload.IN1
sink8_data[58] => src_payload.IN1
sink8_data[59] => src_payload.IN1
sink8_data[60] => src_payload.IN1
sink8_data[61] => src_payload.IN1
sink8_data[62] => src_payload.IN1
sink8_data[63] => src_payload.IN1
sink8_data[64] => src_payload.IN1
sink8_data[65] => src_payload.IN1
sink8_data[66] => src_payload.IN1
sink8_data[67] => src_payload.IN1
sink8_data[68] => src_payload.IN1
sink8_data[69] => src_payload.IN1
sink8_data[70] => src_payload.IN1
sink8_data[70] => last_cycle.IN1
sink8_data[71] => src_payload.IN1
sink8_data[72] => src_payload.IN1
sink8_data[73] => src_payload.IN1
sink8_data[74] => src_payload.IN1
sink8_data[75] => src_payload.IN1
sink8_data[76] => src_payload.IN1
sink8_data[77] => src_payload.IN1
sink8_data[78] => src_payload.IN1
sink8_data[79] => src_payload.IN1
sink8_data[80] => src_payload.IN1
sink8_data[81] => src_payload.IN1
sink8_data[82] => src_payload.IN1
sink8_data[83] => src_payload.IN1
sink8_data[84] => src_payload.IN1
sink8_data[85] => src_payload.IN1
sink8_data[86] => src_payload.IN1
sink8_data[87] => src_payload.IN1
sink8_data[88] => src_payload.IN1
sink8_data[89] => src_payload.IN1
sink8_data[90] => src_payload.IN1
sink8_data[91] => src_payload.IN1
sink8_data[92] => src_payload.IN1
sink8_data[93] => src_payload.IN1
sink8_data[94] => src_payload.IN1
sink8_data[95] => src_payload.IN1
sink8_data[96] => src_payload.IN1
sink8_data[97] => src_payload.IN1
sink8_data[98] => src_payload.IN1
sink8_data[99] => src_payload.IN1
sink8_data[100] => src_payload.IN1
sink8_data[101] => src_payload.IN1
sink8_data[102] => src_payload.IN1
sink8_data[103] => src_payload.IN1
sink8_data[104] => src_payload.IN1
sink8_data[105] => src_payload.IN1
sink8_data[106] => src_payload.IN1
sink8_data[107] => src_payload.IN1
sink8_data[108] => src_payload.IN1
sink8_data[109] => src_payload.IN1
sink8_data[110] => src_payload.IN1
sink8_data[111] => src_payload.IN1
sink8_data[112] => src_payload.IN1
sink8_data[113] => src_payload.IN1
sink8_data[114] => src_payload.IN1
sink8_data[115] => src_payload.IN1
sink8_data[116] => src_payload.IN1
sink8_data[117] => src_payload.IN1
sink8_data[118] => src_payload.IN1
sink8_data[119] => src_payload.IN1
sink8_data[120] => src_payload.IN1
sink8_data[121] => src_payload.IN1
sink8_data[122] => src_payload.IN1
sink8_data[123] => src_payload.IN1
sink8_data[124] => src_payload.IN1
sink8_data[125] => src_payload.IN1
sink8_data[126] => src_payload.IN1
sink8_data[127] => src_payload.IN1
sink8_data[128] => src_payload.IN1
sink8_channel[0] => src_payload.IN1
sink8_channel[1] => src_payload.IN1
sink8_channel[2] => src_payload.IN1
sink8_channel[3] => src_payload.IN1
sink8_channel[4] => src_payload.IN1
sink8_channel[5] => src_payload.IN1
sink8_channel[6] => src_payload.IN1
sink8_channel[7] => src_payload.IN1
sink8_channel[8] => src_payload.IN1
sink8_channel[9] => src_payload.IN1
sink8_channel[10] => src_payload.IN1
sink8_channel[11] => src_payload.IN1
sink8_channel[12] => src_payload.IN1
sink8_channel[13] => src_payload.IN1
sink8_channel[14] => src_payload.IN1
sink8_channel[15] => src_payload.IN1
sink8_channel[16] => src_payload.IN1
sink8_channel[17] => src_payload.IN1
sink8_channel[18] => src_payload.IN1
sink8_channel[19] => src_payload.IN1
sink8_channel[20] => src_payload.IN1
sink8_channel[21] => src_payload.IN1
sink8_startofpacket => src_payload.IN1
sink8_endofpacket => src_payload.IN1
sink8_ready <= sink8_ready.DB_MAX_OUTPUT_PORT_TYPE
sink9_valid => request[9].IN1
sink9_data[0] => src_payload.IN1
sink9_data[1] => src_payload.IN1
sink9_data[2] => src_payload.IN1
sink9_data[3] => src_payload.IN1
sink9_data[4] => src_payload.IN1
sink9_data[5] => src_payload.IN1
sink9_data[6] => src_payload.IN1
sink9_data[7] => src_payload.IN1
sink9_data[8] => src_payload.IN1
sink9_data[9] => src_payload.IN1
sink9_data[10] => src_payload.IN1
sink9_data[11] => src_payload.IN1
sink9_data[12] => src_payload.IN1
sink9_data[13] => src_payload.IN1
sink9_data[14] => src_payload.IN1
sink9_data[15] => src_payload.IN1
sink9_data[16] => src_payload.IN1
sink9_data[17] => src_payload.IN1
sink9_data[18] => src_payload.IN1
sink9_data[19] => src_payload.IN1
sink9_data[20] => src_payload.IN1
sink9_data[21] => src_payload.IN1
sink9_data[22] => src_payload.IN1
sink9_data[23] => src_payload.IN1
sink9_data[24] => src_payload.IN1
sink9_data[25] => src_payload.IN1
sink9_data[26] => src_payload.IN1
sink9_data[27] => src_payload.IN1
sink9_data[28] => src_payload.IN1
sink9_data[29] => src_payload.IN1
sink9_data[30] => src_payload.IN1
sink9_data[31] => src_payload.IN1
sink9_data[32] => src_payload.IN1
sink9_data[33] => src_payload.IN1
sink9_data[34] => src_payload.IN1
sink9_data[35] => src_payload.IN1
sink9_data[36] => src_payload.IN1
sink9_data[37] => src_payload.IN1
sink9_data[38] => src_payload.IN1
sink9_data[39] => src_payload.IN1
sink9_data[40] => src_payload.IN1
sink9_data[41] => src_payload.IN1
sink9_data[42] => src_payload.IN1
sink9_data[43] => src_payload.IN1
sink9_data[44] => src_payload.IN1
sink9_data[45] => src_payload.IN1
sink9_data[46] => src_payload.IN1
sink9_data[47] => src_payload.IN1
sink9_data[48] => src_payload.IN1
sink9_data[49] => src_payload.IN1
sink9_data[50] => src_payload.IN1
sink9_data[51] => src_payload.IN1
sink9_data[52] => src_payload.IN1
sink9_data[53] => src_payload.IN1
sink9_data[54] => src_payload.IN1
sink9_data[55] => src_payload.IN1
sink9_data[56] => src_payload.IN1
sink9_data[57] => src_payload.IN1
sink9_data[58] => src_payload.IN1
sink9_data[59] => src_payload.IN1
sink9_data[60] => src_payload.IN1
sink9_data[61] => src_payload.IN1
sink9_data[62] => src_payload.IN1
sink9_data[63] => src_payload.IN1
sink9_data[64] => src_payload.IN1
sink9_data[65] => src_payload.IN1
sink9_data[66] => src_payload.IN1
sink9_data[67] => src_payload.IN1
sink9_data[68] => src_payload.IN1
sink9_data[69] => src_payload.IN1
sink9_data[70] => src_payload.IN1
sink9_data[70] => last_cycle.IN1
sink9_data[71] => src_payload.IN1
sink9_data[72] => src_payload.IN1
sink9_data[73] => src_payload.IN1
sink9_data[74] => src_payload.IN1
sink9_data[75] => src_payload.IN1
sink9_data[76] => src_payload.IN1
sink9_data[77] => src_payload.IN1
sink9_data[78] => src_payload.IN1
sink9_data[79] => src_payload.IN1
sink9_data[80] => src_payload.IN1
sink9_data[81] => src_payload.IN1
sink9_data[82] => src_payload.IN1
sink9_data[83] => src_payload.IN1
sink9_data[84] => src_payload.IN1
sink9_data[85] => src_payload.IN1
sink9_data[86] => src_payload.IN1
sink9_data[87] => src_payload.IN1
sink9_data[88] => src_payload.IN1
sink9_data[89] => src_payload.IN1
sink9_data[90] => src_payload.IN1
sink9_data[91] => src_payload.IN1
sink9_data[92] => src_payload.IN1
sink9_data[93] => src_payload.IN1
sink9_data[94] => src_payload.IN1
sink9_data[95] => src_payload.IN1
sink9_data[96] => src_payload.IN1
sink9_data[97] => src_payload.IN1
sink9_data[98] => src_payload.IN1
sink9_data[99] => src_payload.IN1
sink9_data[100] => src_payload.IN1
sink9_data[101] => src_payload.IN1
sink9_data[102] => src_payload.IN1
sink9_data[103] => src_payload.IN1
sink9_data[104] => src_payload.IN1
sink9_data[105] => src_payload.IN1
sink9_data[106] => src_payload.IN1
sink9_data[107] => src_payload.IN1
sink9_data[108] => src_payload.IN1
sink9_data[109] => src_payload.IN1
sink9_data[110] => src_payload.IN1
sink9_data[111] => src_payload.IN1
sink9_data[112] => src_payload.IN1
sink9_data[113] => src_payload.IN1
sink9_data[114] => src_payload.IN1
sink9_data[115] => src_payload.IN1
sink9_data[116] => src_payload.IN1
sink9_data[117] => src_payload.IN1
sink9_data[118] => src_payload.IN1
sink9_data[119] => src_payload.IN1
sink9_data[120] => src_payload.IN1
sink9_data[121] => src_payload.IN1
sink9_data[122] => src_payload.IN1
sink9_data[123] => src_payload.IN1
sink9_data[124] => src_payload.IN1
sink9_data[125] => src_payload.IN1
sink9_data[126] => src_payload.IN1
sink9_data[127] => src_payload.IN1
sink9_data[128] => src_payload.IN1
sink9_channel[0] => src_payload.IN1
sink9_channel[1] => src_payload.IN1
sink9_channel[2] => src_payload.IN1
sink9_channel[3] => src_payload.IN1
sink9_channel[4] => src_payload.IN1
sink9_channel[5] => src_payload.IN1
sink9_channel[6] => src_payload.IN1
sink9_channel[7] => src_payload.IN1
sink9_channel[8] => src_payload.IN1
sink9_channel[9] => src_payload.IN1
sink9_channel[10] => src_payload.IN1
sink9_channel[11] => src_payload.IN1
sink9_channel[12] => src_payload.IN1
sink9_channel[13] => src_payload.IN1
sink9_channel[14] => src_payload.IN1
sink9_channel[15] => src_payload.IN1
sink9_channel[16] => src_payload.IN1
sink9_channel[17] => src_payload.IN1
sink9_channel[18] => src_payload.IN1
sink9_channel[19] => src_payload.IN1
sink9_channel[20] => src_payload.IN1
sink9_channel[21] => src_payload.IN1
sink9_startofpacket => src_payload.IN1
sink9_endofpacket => src_payload.IN1
sink9_ready <= sink9_ready.DB_MAX_OUTPUT_PORT_TYPE
sink10_valid => request[10].IN1
sink10_data[0] => src_payload.IN1
sink10_data[1] => src_payload.IN1
sink10_data[2] => src_payload.IN1
sink10_data[3] => src_payload.IN1
sink10_data[4] => src_payload.IN1
sink10_data[5] => src_payload.IN1
sink10_data[6] => src_payload.IN1
sink10_data[7] => src_payload.IN1
sink10_data[8] => src_payload.IN1
sink10_data[9] => src_payload.IN1
sink10_data[10] => src_payload.IN1
sink10_data[11] => src_payload.IN1
sink10_data[12] => src_payload.IN1
sink10_data[13] => src_payload.IN1
sink10_data[14] => src_payload.IN1
sink10_data[15] => src_payload.IN1
sink10_data[16] => src_payload.IN1
sink10_data[17] => src_payload.IN1
sink10_data[18] => src_payload.IN1
sink10_data[19] => src_payload.IN1
sink10_data[20] => src_payload.IN1
sink10_data[21] => src_payload.IN1
sink10_data[22] => src_payload.IN1
sink10_data[23] => src_payload.IN1
sink10_data[24] => src_payload.IN1
sink10_data[25] => src_payload.IN1
sink10_data[26] => src_payload.IN1
sink10_data[27] => src_payload.IN1
sink10_data[28] => src_payload.IN1
sink10_data[29] => src_payload.IN1
sink10_data[30] => src_payload.IN1
sink10_data[31] => src_payload.IN1
sink10_data[32] => src_payload.IN1
sink10_data[33] => src_payload.IN1
sink10_data[34] => src_payload.IN1
sink10_data[35] => src_payload.IN1
sink10_data[36] => src_payload.IN1
sink10_data[37] => src_payload.IN1
sink10_data[38] => src_payload.IN1
sink10_data[39] => src_payload.IN1
sink10_data[40] => src_payload.IN1
sink10_data[41] => src_payload.IN1
sink10_data[42] => src_payload.IN1
sink10_data[43] => src_payload.IN1
sink10_data[44] => src_payload.IN1
sink10_data[45] => src_payload.IN1
sink10_data[46] => src_payload.IN1
sink10_data[47] => src_payload.IN1
sink10_data[48] => src_payload.IN1
sink10_data[49] => src_payload.IN1
sink10_data[50] => src_payload.IN1
sink10_data[51] => src_payload.IN1
sink10_data[52] => src_payload.IN1
sink10_data[53] => src_payload.IN1
sink10_data[54] => src_payload.IN1
sink10_data[55] => src_payload.IN1
sink10_data[56] => src_payload.IN1
sink10_data[57] => src_payload.IN1
sink10_data[58] => src_payload.IN1
sink10_data[59] => src_payload.IN1
sink10_data[60] => src_payload.IN1
sink10_data[61] => src_payload.IN1
sink10_data[62] => src_payload.IN1
sink10_data[63] => src_payload.IN1
sink10_data[64] => src_payload.IN1
sink10_data[65] => src_payload.IN1
sink10_data[66] => src_payload.IN1
sink10_data[67] => src_payload.IN1
sink10_data[68] => src_payload.IN1
sink10_data[69] => src_payload.IN1
sink10_data[70] => src_payload.IN1
sink10_data[70] => last_cycle.IN1
sink10_data[71] => src_payload.IN1
sink10_data[72] => src_payload.IN1
sink10_data[73] => src_payload.IN1
sink10_data[74] => src_payload.IN1
sink10_data[75] => src_payload.IN1
sink10_data[76] => src_payload.IN1
sink10_data[77] => src_payload.IN1
sink10_data[78] => src_payload.IN1
sink10_data[79] => src_payload.IN1
sink10_data[80] => src_payload.IN1
sink10_data[81] => src_payload.IN1
sink10_data[82] => src_payload.IN1
sink10_data[83] => src_payload.IN1
sink10_data[84] => src_payload.IN1
sink10_data[85] => src_payload.IN1
sink10_data[86] => src_payload.IN1
sink10_data[87] => src_payload.IN1
sink10_data[88] => src_payload.IN1
sink10_data[89] => src_payload.IN1
sink10_data[90] => src_payload.IN1
sink10_data[91] => src_payload.IN1
sink10_data[92] => src_payload.IN1
sink10_data[93] => src_payload.IN1
sink10_data[94] => src_payload.IN1
sink10_data[95] => src_payload.IN1
sink10_data[96] => src_payload.IN1
sink10_data[97] => src_payload.IN1
sink10_data[98] => src_payload.IN1
sink10_data[99] => src_payload.IN1
sink10_data[100] => src_payload.IN1
sink10_data[101] => src_payload.IN1
sink10_data[102] => src_payload.IN1
sink10_data[103] => src_payload.IN1
sink10_data[104] => src_payload.IN1
sink10_data[105] => src_payload.IN1
sink10_data[106] => src_payload.IN1
sink10_data[107] => src_payload.IN1
sink10_data[108] => src_payload.IN1
sink10_data[109] => src_payload.IN1
sink10_data[110] => src_payload.IN1
sink10_data[111] => src_payload.IN1
sink10_data[112] => src_payload.IN1
sink10_data[113] => src_payload.IN1
sink10_data[114] => src_payload.IN1
sink10_data[115] => src_payload.IN1
sink10_data[116] => src_payload.IN1
sink10_data[117] => src_payload.IN1
sink10_data[118] => src_payload.IN1
sink10_data[119] => src_payload.IN1
sink10_data[120] => src_payload.IN1
sink10_data[121] => src_payload.IN1
sink10_data[122] => src_payload.IN1
sink10_data[123] => src_payload.IN1
sink10_data[124] => src_payload.IN1
sink10_data[125] => src_payload.IN1
sink10_data[126] => src_payload.IN1
sink10_data[127] => src_payload.IN1
sink10_data[128] => src_payload.IN1
sink10_channel[0] => src_payload.IN1
sink10_channel[1] => src_payload.IN1
sink10_channel[2] => src_payload.IN1
sink10_channel[3] => src_payload.IN1
sink10_channel[4] => src_payload.IN1
sink10_channel[5] => src_payload.IN1
sink10_channel[6] => src_payload.IN1
sink10_channel[7] => src_payload.IN1
sink10_channel[8] => src_payload.IN1
sink10_channel[9] => src_payload.IN1
sink10_channel[10] => src_payload.IN1
sink10_channel[11] => src_payload.IN1
sink10_channel[12] => src_payload.IN1
sink10_channel[13] => src_payload.IN1
sink10_channel[14] => src_payload.IN1
sink10_channel[15] => src_payload.IN1
sink10_channel[16] => src_payload.IN1
sink10_channel[17] => src_payload.IN1
sink10_channel[18] => src_payload.IN1
sink10_channel[19] => src_payload.IN1
sink10_channel[20] => src_payload.IN1
sink10_channel[21] => src_payload.IN1
sink10_startofpacket => src_payload.IN1
sink10_endofpacket => src_payload.IN1
sink10_ready <= sink10_ready.DB_MAX_OUTPUT_PORT_TYPE
sink11_valid => request[11].IN1
sink11_data[0] => src_payload.IN1
sink11_data[1] => src_payload.IN1
sink11_data[2] => src_payload.IN1
sink11_data[3] => src_payload.IN1
sink11_data[4] => src_payload.IN1
sink11_data[5] => src_payload.IN1
sink11_data[6] => src_payload.IN1
sink11_data[7] => src_payload.IN1
sink11_data[8] => src_payload.IN1
sink11_data[9] => src_payload.IN1
sink11_data[10] => src_payload.IN1
sink11_data[11] => src_payload.IN1
sink11_data[12] => src_payload.IN1
sink11_data[13] => src_payload.IN1
sink11_data[14] => src_payload.IN1
sink11_data[15] => src_payload.IN1
sink11_data[16] => src_payload.IN1
sink11_data[17] => src_payload.IN1
sink11_data[18] => src_payload.IN1
sink11_data[19] => src_payload.IN1
sink11_data[20] => src_payload.IN1
sink11_data[21] => src_payload.IN1
sink11_data[22] => src_payload.IN1
sink11_data[23] => src_payload.IN1
sink11_data[24] => src_payload.IN1
sink11_data[25] => src_payload.IN1
sink11_data[26] => src_payload.IN1
sink11_data[27] => src_payload.IN1
sink11_data[28] => src_payload.IN1
sink11_data[29] => src_payload.IN1
sink11_data[30] => src_payload.IN1
sink11_data[31] => src_payload.IN1
sink11_data[32] => src_payload.IN1
sink11_data[33] => src_payload.IN1
sink11_data[34] => src_payload.IN1
sink11_data[35] => src_payload.IN1
sink11_data[36] => src_payload.IN1
sink11_data[37] => src_payload.IN1
sink11_data[38] => src_payload.IN1
sink11_data[39] => src_payload.IN1
sink11_data[40] => src_payload.IN1
sink11_data[41] => src_payload.IN1
sink11_data[42] => src_payload.IN1
sink11_data[43] => src_payload.IN1
sink11_data[44] => src_payload.IN1
sink11_data[45] => src_payload.IN1
sink11_data[46] => src_payload.IN1
sink11_data[47] => src_payload.IN1
sink11_data[48] => src_payload.IN1
sink11_data[49] => src_payload.IN1
sink11_data[50] => src_payload.IN1
sink11_data[51] => src_payload.IN1
sink11_data[52] => src_payload.IN1
sink11_data[53] => src_payload.IN1
sink11_data[54] => src_payload.IN1
sink11_data[55] => src_payload.IN1
sink11_data[56] => src_payload.IN1
sink11_data[57] => src_payload.IN1
sink11_data[58] => src_payload.IN1
sink11_data[59] => src_payload.IN1
sink11_data[60] => src_payload.IN1
sink11_data[61] => src_payload.IN1
sink11_data[62] => src_payload.IN1
sink11_data[63] => src_payload.IN1
sink11_data[64] => src_payload.IN1
sink11_data[65] => src_payload.IN1
sink11_data[66] => src_payload.IN1
sink11_data[67] => src_payload.IN1
sink11_data[68] => src_payload.IN1
sink11_data[69] => src_payload.IN1
sink11_data[70] => src_payload.IN1
sink11_data[70] => last_cycle.IN1
sink11_data[71] => src_payload.IN1
sink11_data[72] => src_payload.IN1
sink11_data[73] => src_payload.IN1
sink11_data[74] => src_payload.IN1
sink11_data[75] => src_payload.IN1
sink11_data[76] => src_payload.IN1
sink11_data[77] => src_payload.IN1
sink11_data[78] => src_payload.IN1
sink11_data[79] => src_payload.IN1
sink11_data[80] => src_payload.IN1
sink11_data[81] => src_payload.IN1
sink11_data[82] => src_payload.IN1
sink11_data[83] => src_payload.IN1
sink11_data[84] => src_payload.IN1
sink11_data[85] => src_payload.IN1
sink11_data[86] => src_payload.IN1
sink11_data[87] => src_payload.IN1
sink11_data[88] => src_payload.IN1
sink11_data[89] => src_payload.IN1
sink11_data[90] => src_payload.IN1
sink11_data[91] => src_payload.IN1
sink11_data[92] => src_payload.IN1
sink11_data[93] => src_payload.IN1
sink11_data[94] => src_payload.IN1
sink11_data[95] => src_payload.IN1
sink11_data[96] => src_payload.IN1
sink11_data[97] => src_payload.IN1
sink11_data[98] => src_payload.IN1
sink11_data[99] => src_payload.IN1
sink11_data[100] => src_payload.IN1
sink11_data[101] => src_payload.IN1
sink11_data[102] => src_payload.IN1
sink11_data[103] => src_payload.IN1
sink11_data[104] => src_payload.IN1
sink11_data[105] => src_payload.IN1
sink11_data[106] => src_payload.IN1
sink11_data[107] => src_payload.IN1
sink11_data[108] => src_payload.IN1
sink11_data[109] => src_payload.IN1
sink11_data[110] => src_payload.IN1
sink11_data[111] => src_payload.IN1
sink11_data[112] => src_payload.IN1
sink11_data[113] => src_payload.IN1
sink11_data[114] => src_payload.IN1
sink11_data[115] => src_payload.IN1
sink11_data[116] => src_payload.IN1
sink11_data[117] => src_payload.IN1
sink11_data[118] => src_payload.IN1
sink11_data[119] => src_payload.IN1
sink11_data[120] => src_payload.IN1
sink11_data[121] => src_payload.IN1
sink11_data[122] => src_payload.IN1
sink11_data[123] => src_payload.IN1
sink11_data[124] => src_payload.IN1
sink11_data[125] => src_payload.IN1
sink11_data[126] => src_payload.IN1
sink11_data[127] => src_payload.IN1
sink11_data[128] => src_payload.IN1
sink11_channel[0] => src_payload.IN1
sink11_channel[1] => src_payload.IN1
sink11_channel[2] => src_payload.IN1
sink11_channel[3] => src_payload.IN1
sink11_channel[4] => src_payload.IN1
sink11_channel[5] => src_payload.IN1
sink11_channel[6] => src_payload.IN1
sink11_channel[7] => src_payload.IN1
sink11_channel[8] => src_payload.IN1
sink11_channel[9] => src_payload.IN1
sink11_channel[10] => src_payload.IN1
sink11_channel[11] => src_payload.IN1
sink11_channel[12] => src_payload.IN1
sink11_channel[13] => src_payload.IN1
sink11_channel[14] => src_payload.IN1
sink11_channel[15] => src_payload.IN1
sink11_channel[16] => src_payload.IN1
sink11_channel[17] => src_payload.IN1
sink11_channel[18] => src_payload.IN1
sink11_channel[19] => src_payload.IN1
sink11_channel[20] => src_payload.IN1
sink11_channel[21] => src_payload.IN1
sink11_startofpacket => src_payload.IN1
sink11_endofpacket => src_payload.IN1
sink11_ready <= sink11_ready.DB_MAX_OUTPUT_PORT_TYPE
sink12_valid => request[12].IN1
sink12_data[0] => src_payload.IN1
sink12_data[1] => src_payload.IN1
sink12_data[2] => src_payload.IN1
sink12_data[3] => src_payload.IN1
sink12_data[4] => src_payload.IN1
sink12_data[5] => src_payload.IN1
sink12_data[6] => src_payload.IN1
sink12_data[7] => src_payload.IN1
sink12_data[8] => src_payload.IN1
sink12_data[9] => src_payload.IN1
sink12_data[10] => src_payload.IN1
sink12_data[11] => src_payload.IN1
sink12_data[12] => src_payload.IN1
sink12_data[13] => src_payload.IN1
sink12_data[14] => src_payload.IN1
sink12_data[15] => src_payload.IN1
sink12_data[16] => src_payload.IN1
sink12_data[17] => src_payload.IN1
sink12_data[18] => src_payload.IN1
sink12_data[19] => src_payload.IN1
sink12_data[20] => src_payload.IN1
sink12_data[21] => src_payload.IN1
sink12_data[22] => src_payload.IN1
sink12_data[23] => src_payload.IN1
sink12_data[24] => src_payload.IN1
sink12_data[25] => src_payload.IN1
sink12_data[26] => src_payload.IN1
sink12_data[27] => src_payload.IN1
sink12_data[28] => src_payload.IN1
sink12_data[29] => src_payload.IN1
sink12_data[30] => src_payload.IN1
sink12_data[31] => src_payload.IN1
sink12_data[32] => src_payload.IN1
sink12_data[33] => src_payload.IN1
sink12_data[34] => src_payload.IN1
sink12_data[35] => src_payload.IN1
sink12_data[36] => src_payload.IN1
sink12_data[37] => src_payload.IN1
sink12_data[38] => src_payload.IN1
sink12_data[39] => src_payload.IN1
sink12_data[40] => src_payload.IN1
sink12_data[41] => src_payload.IN1
sink12_data[42] => src_payload.IN1
sink12_data[43] => src_payload.IN1
sink12_data[44] => src_payload.IN1
sink12_data[45] => src_payload.IN1
sink12_data[46] => src_payload.IN1
sink12_data[47] => src_payload.IN1
sink12_data[48] => src_payload.IN1
sink12_data[49] => src_payload.IN1
sink12_data[50] => src_payload.IN1
sink12_data[51] => src_payload.IN1
sink12_data[52] => src_payload.IN1
sink12_data[53] => src_payload.IN1
sink12_data[54] => src_payload.IN1
sink12_data[55] => src_payload.IN1
sink12_data[56] => src_payload.IN1
sink12_data[57] => src_payload.IN1
sink12_data[58] => src_payload.IN1
sink12_data[59] => src_payload.IN1
sink12_data[60] => src_payload.IN1
sink12_data[61] => src_payload.IN1
sink12_data[62] => src_payload.IN1
sink12_data[63] => src_payload.IN1
sink12_data[64] => src_payload.IN1
sink12_data[65] => src_payload.IN1
sink12_data[66] => src_payload.IN1
sink12_data[67] => src_payload.IN1
sink12_data[68] => src_payload.IN1
sink12_data[69] => src_payload.IN1
sink12_data[70] => src_payload.IN1
sink12_data[70] => last_cycle.IN1
sink12_data[71] => src_payload.IN1
sink12_data[72] => src_payload.IN1
sink12_data[73] => src_payload.IN1
sink12_data[74] => src_payload.IN1
sink12_data[75] => src_payload.IN1
sink12_data[76] => src_payload.IN1
sink12_data[77] => src_payload.IN1
sink12_data[78] => src_payload.IN1
sink12_data[79] => src_payload.IN1
sink12_data[80] => src_payload.IN1
sink12_data[81] => src_payload.IN1
sink12_data[82] => src_payload.IN1
sink12_data[83] => src_payload.IN1
sink12_data[84] => src_payload.IN1
sink12_data[85] => src_payload.IN1
sink12_data[86] => src_payload.IN1
sink12_data[87] => src_payload.IN1
sink12_data[88] => src_payload.IN1
sink12_data[89] => src_payload.IN1
sink12_data[90] => src_payload.IN1
sink12_data[91] => src_payload.IN1
sink12_data[92] => src_payload.IN1
sink12_data[93] => src_payload.IN1
sink12_data[94] => src_payload.IN1
sink12_data[95] => src_payload.IN1
sink12_data[96] => src_payload.IN1
sink12_data[97] => src_payload.IN1
sink12_data[98] => src_payload.IN1
sink12_data[99] => src_payload.IN1
sink12_data[100] => src_payload.IN1
sink12_data[101] => src_payload.IN1
sink12_data[102] => src_payload.IN1
sink12_data[103] => src_payload.IN1
sink12_data[104] => src_payload.IN1
sink12_data[105] => src_payload.IN1
sink12_data[106] => src_payload.IN1
sink12_data[107] => src_payload.IN1
sink12_data[108] => src_payload.IN1
sink12_data[109] => src_payload.IN1
sink12_data[110] => src_payload.IN1
sink12_data[111] => src_payload.IN1
sink12_data[112] => src_payload.IN1
sink12_data[113] => src_payload.IN1
sink12_data[114] => src_payload.IN1
sink12_data[115] => src_payload.IN1
sink12_data[116] => src_payload.IN1
sink12_data[117] => src_payload.IN1
sink12_data[118] => src_payload.IN1
sink12_data[119] => src_payload.IN1
sink12_data[120] => src_payload.IN1
sink12_data[121] => src_payload.IN1
sink12_data[122] => src_payload.IN1
sink12_data[123] => src_payload.IN1
sink12_data[124] => src_payload.IN1
sink12_data[125] => src_payload.IN1
sink12_data[126] => src_payload.IN1
sink12_data[127] => src_payload.IN1
sink12_data[128] => src_payload.IN1
sink12_channel[0] => src_payload.IN1
sink12_channel[1] => src_payload.IN1
sink12_channel[2] => src_payload.IN1
sink12_channel[3] => src_payload.IN1
sink12_channel[4] => src_payload.IN1
sink12_channel[5] => src_payload.IN1
sink12_channel[6] => src_payload.IN1
sink12_channel[7] => src_payload.IN1
sink12_channel[8] => src_payload.IN1
sink12_channel[9] => src_payload.IN1
sink12_channel[10] => src_payload.IN1
sink12_channel[11] => src_payload.IN1
sink12_channel[12] => src_payload.IN1
sink12_channel[13] => src_payload.IN1
sink12_channel[14] => src_payload.IN1
sink12_channel[15] => src_payload.IN1
sink12_channel[16] => src_payload.IN1
sink12_channel[17] => src_payload.IN1
sink12_channel[18] => src_payload.IN1
sink12_channel[19] => src_payload.IN1
sink12_channel[20] => src_payload.IN1
sink12_channel[21] => src_payload.IN1
sink12_startofpacket => src_payload.IN1
sink12_endofpacket => src_payload.IN1
sink12_ready <= sink12_ready.DB_MAX_OUTPUT_PORT_TYPE
sink13_valid => request[13].IN1
sink13_data[0] => src_payload.IN1
sink13_data[1] => src_payload.IN1
sink13_data[2] => src_payload.IN1
sink13_data[3] => src_payload.IN1
sink13_data[4] => src_payload.IN1
sink13_data[5] => src_payload.IN1
sink13_data[6] => src_payload.IN1
sink13_data[7] => src_payload.IN1
sink13_data[8] => src_payload.IN1
sink13_data[9] => src_payload.IN1
sink13_data[10] => src_payload.IN1
sink13_data[11] => src_payload.IN1
sink13_data[12] => src_payload.IN1
sink13_data[13] => src_payload.IN1
sink13_data[14] => src_payload.IN1
sink13_data[15] => src_payload.IN1
sink13_data[16] => src_payload.IN1
sink13_data[17] => src_payload.IN1
sink13_data[18] => src_payload.IN1
sink13_data[19] => src_payload.IN1
sink13_data[20] => src_payload.IN1
sink13_data[21] => src_payload.IN1
sink13_data[22] => src_payload.IN1
sink13_data[23] => src_payload.IN1
sink13_data[24] => src_payload.IN1
sink13_data[25] => src_payload.IN1
sink13_data[26] => src_payload.IN1
sink13_data[27] => src_payload.IN1
sink13_data[28] => src_payload.IN1
sink13_data[29] => src_payload.IN1
sink13_data[30] => src_payload.IN1
sink13_data[31] => src_payload.IN1
sink13_data[32] => src_payload.IN1
sink13_data[33] => src_payload.IN1
sink13_data[34] => src_payload.IN1
sink13_data[35] => src_payload.IN1
sink13_data[36] => src_payload.IN1
sink13_data[37] => src_payload.IN1
sink13_data[38] => src_payload.IN1
sink13_data[39] => src_payload.IN1
sink13_data[40] => src_payload.IN1
sink13_data[41] => src_payload.IN1
sink13_data[42] => src_payload.IN1
sink13_data[43] => src_payload.IN1
sink13_data[44] => src_payload.IN1
sink13_data[45] => src_payload.IN1
sink13_data[46] => src_payload.IN1
sink13_data[47] => src_payload.IN1
sink13_data[48] => src_payload.IN1
sink13_data[49] => src_payload.IN1
sink13_data[50] => src_payload.IN1
sink13_data[51] => src_payload.IN1
sink13_data[52] => src_payload.IN1
sink13_data[53] => src_payload.IN1
sink13_data[54] => src_payload.IN1
sink13_data[55] => src_payload.IN1
sink13_data[56] => src_payload.IN1
sink13_data[57] => src_payload.IN1
sink13_data[58] => src_payload.IN1
sink13_data[59] => src_payload.IN1
sink13_data[60] => src_payload.IN1
sink13_data[61] => src_payload.IN1
sink13_data[62] => src_payload.IN1
sink13_data[63] => src_payload.IN1
sink13_data[64] => src_payload.IN1
sink13_data[65] => src_payload.IN1
sink13_data[66] => src_payload.IN1
sink13_data[67] => src_payload.IN1
sink13_data[68] => src_payload.IN1
sink13_data[69] => src_payload.IN1
sink13_data[70] => src_payload.IN1
sink13_data[70] => last_cycle.IN1
sink13_data[71] => src_payload.IN1
sink13_data[72] => src_payload.IN1
sink13_data[73] => src_payload.IN1
sink13_data[74] => src_payload.IN1
sink13_data[75] => src_payload.IN1
sink13_data[76] => src_payload.IN1
sink13_data[77] => src_payload.IN1
sink13_data[78] => src_payload.IN1
sink13_data[79] => src_payload.IN1
sink13_data[80] => src_payload.IN1
sink13_data[81] => src_payload.IN1
sink13_data[82] => src_payload.IN1
sink13_data[83] => src_payload.IN1
sink13_data[84] => src_payload.IN1
sink13_data[85] => src_payload.IN1
sink13_data[86] => src_payload.IN1
sink13_data[87] => src_payload.IN1
sink13_data[88] => src_payload.IN1
sink13_data[89] => src_payload.IN1
sink13_data[90] => src_payload.IN1
sink13_data[91] => src_payload.IN1
sink13_data[92] => src_payload.IN1
sink13_data[93] => src_payload.IN1
sink13_data[94] => src_payload.IN1
sink13_data[95] => src_payload.IN1
sink13_data[96] => src_payload.IN1
sink13_data[97] => src_payload.IN1
sink13_data[98] => src_payload.IN1
sink13_data[99] => src_payload.IN1
sink13_data[100] => src_payload.IN1
sink13_data[101] => src_payload.IN1
sink13_data[102] => src_payload.IN1
sink13_data[103] => src_payload.IN1
sink13_data[104] => src_payload.IN1
sink13_data[105] => src_payload.IN1
sink13_data[106] => src_payload.IN1
sink13_data[107] => src_payload.IN1
sink13_data[108] => src_payload.IN1
sink13_data[109] => src_payload.IN1
sink13_data[110] => src_payload.IN1
sink13_data[111] => src_payload.IN1
sink13_data[112] => src_payload.IN1
sink13_data[113] => src_payload.IN1
sink13_data[114] => src_payload.IN1
sink13_data[115] => src_payload.IN1
sink13_data[116] => src_payload.IN1
sink13_data[117] => src_payload.IN1
sink13_data[118] => src_payload.IN1
sink13_data[119] => src_payload.IN1
sink13_data[120] => src_payload.IN1
sink13_data[121] => src_payload.IN1
sink13_data[122] => src_payload.IN1
sink13_data[123] => src_payload.IN1
sink13_data[124] => src_payload.IN1
sink13_data[125] => src_payload.IN1
sink13_data[126] => src_payload.IN1
sink13_data[127] => src_payload.IN1
sink13_data[128] => src_payload.IN1
sink13_channel[0] => src_payload.IN1
sink13_channel[1] => src_payload.IN1
sink13_channel[2] => src_payload.IN1
sink13_channel[3] => src_payload.IN1
sink13_channel[4] => src_payload.IN1
sink13_channel[5] => src_payload.IN1
sink13_channel[6] => src_payload.IN1
sink13_channel[7] => src_payload.IN1
sink13_channel[8] => src_payload.IN1
sink13_channel[9] => src_payload.IN1
sink13_channel[10] => src_payload.IN1
sink13_channel[11] => src_payload.IN1
sink13_channel[12] => src_payload.IN1
sink13_channel[13] => src_payload.IN1
sink13_channel[14] => src_payload.IN1
sink13_channel[15] => src_payload.IN1
sink13_channel[16] => src_payload.IN1
sink13_channel[17] => src_payload.IN1
sink13_channel[18] => src_payload.IN1
sink13_channel[19] => src_payload.IN1
sink13_channel[20] => src_payload.IN1
sink13_channel[21] => src_payload.IN1
sink13_startofpacket => src_payload.IN1
sink13_endofpacket => src_payload.IN1
sink13_ready <= sink13_ready.DB_MAX_OUTPUT_PORT_TYPE
sink14_valid => request[14].IN1
sink14_data[0] => src_payload.IN1
sink14_data[1] => src_payload.IN1
sink14_data[2] => src_payload.IN1
sink14_data[3] => src_payload.IN1
sink14_data[4] => src_payload.IN1
sink14_data[5] => src_payload.IN1
sink14_data[6] => src_payload.IN1
sink14_data[7] => src_payload.IN1
sink14_data[8] => src_payload.IN1
sink14_data[9] => src_payload.IN1
sink14_data[10] => src_payload.IN1
sink14_data[11] => src_payload.IN1
sink14_data[12] => src_payload.IN1
sink14_data[13] => src_payload.IN1
sink14_data[14] => src_payload.IN1
sink14_data[15] => src_payload.IN1
sink14_data[16] => src_payload.IN1
sink14_data[17] => src_payload.IN1
sink14_data[18] => src_payload.IN1
sink14_data[19] => src_payload.IN1
sink14_data[20] => src_payload.IN1
sink14_data[21] => src_payload.IN1
sink14_data[22] => src_payload.IN1
sink14_data[23] => src_payload.IN1
sink14_data[24] => src_payload.IN1
sink14_data[25] => src_payload.IN1
sink14_data[26] => src_payload.IN1
sink14_data[27] => src_payload.IN1
sink14_data[28] => src_payload.IN1
sink14_data[29] => src_payload.IN1
sink14_data[30] => src_payload.IN1
sink14_data[31] => src_payload.IN1
sink14_data[32] => src_payload.IN1
sink14_data[33] => src_payload.IN1
sink14_data[34] => src_payload.IN1
sink14_data[35] => src_payload.IN1
sink14_data[36] => src_payload.IN1
sink14_data[37] => src_payload.IN1
sink14_data[38] => src_payload.IN1
sink14_data[39] => src_payload.IN1
sink14_data[40] => src_payload.IN1
sink14_data[41] => src_payload.IN1
sink14_data[42] => src_payload.IN1
sink14_data[43] => src_payload.IN1
sink14_data[44] => src_payload.IN1
sink14_data[45] => src_payload.IN1
sink14_data[46] => src_payload.IN1
sink14_data[47] => src_payload.IN1
sink14_data[48] => src_payload.IN1
sink14_data[49] => src_payload.IN1
sink14_data[50] => src_payload.IN1
sink14_data[51] => src_payload.IN1
sink14_data[52] => src_payload.IN1
sink14_data[53] => src_payload.IN1
sink14_data[54] => src_payload.IN1
sink14_data[55] => src_payload.IN1
sink14_data[56] => src_payload.IN1
sink14_data[57] => src_payload.IN1
sink14_data[58] => src_payload.IN1
sink14_data[59] => src_payload.IN1
sink14_data[60] => src_payload.IN1
sink14_data[61] => src_payload.IN1
sink14_data[62] => src_payload.IN1
sink14_data[63] => src_payload.IN1
sink14_data[64] => src_payload.IN1
sink14_data[65] => src_payload.IN1
sink14_data[66] => src_payload.IN1
sink14_data[67] => src_payload.IN1
sink14_data[68] => src_payload.IN1
sink14_data[69] => src_payload.IN1
sink14_data[70] => src_payload.IN1
sink14_data[70] => last_cycle.IN1
sink14_data[71] => src_payload.IN1
sink14_data[72] => src_payload.IN1
sink14_data[73] => src_payload.IN1
sink14_data[74] => src_payload.IN1
sink14_data[75] => src_payload.IN1
sink14_data[76] => src_payload.IN1
sink14_data[77] => src_payload.IN1
sink14_data[78] => src_payload.IN1
sink14_data[79] => src_payload.IN1
sink14_data[80] => src_payload.IN1
sink14_data[81] => src_payload.IN1
sink14_data[82] => src_payload.IN1
sink14_data[83] => src_payload.IN1
sink14_data[84] => src_payload.IN1
sink14_data[85] => src_payload.IN1
sink14_data[86] => src_payload.IN1
sink14_data[87] => src_payload.IN1
sink14_data[88] => src_payload.IN1
sink14_data[89] => src_payload.IN1
sink14_data[90] => src_payload.IN1
sink14_data[91] => src_payload.IN1
sink14_data[92] => src_payload.IN1
sink14_data[93] => src_payload.IN1
sink14_data[94] => src_payload.IN1
sink14_data[95] => src_payload.IN1
sink14_data[96] => src_payload.IN1
sink14_data[97] => src_payload.IN1
sink14_data[98] => src_payload.IN1
sink14_data[99] => src_payload.IN1
sink14_data[100] => src_payload.IN1
sink14_data[101] => src_payload.IN1
sink14_data[102] => src_payload.IN1
sink14_data[103] => src_payload.IN1
sink14_data[104] => src_payload.IN1
sink14_data[105] => src_payload.IN1
sink14_data[106] => src_payload.IN1
sink14_data[107] => src_payload.IN1
sink14_data[108] => src_payload.IN1
sink14_data[109] => src_payload.IN1
sink14_data[110] => src_payload.IN1
sink14_data[111] => src_payload.IN1
sink14_data[112] => src_payload.IN1
sink14_data[113] => src_payload.IN1
sink14_data[114] => src_payload.IN1
sink14_data[115] => src_payload.IN1
sink14_data[116] => src_payload.IN1
sink14_data[117] => src_payload.IN1
sink14_data[118] => src_payload.IN1
sink14_data[119] => src_payload.IN1
sink14_data[120] => src_payload.IN1
sink14_data[121] => src_payload.IN1
sink14_data[122] => src_payload.IN1
sink14_data[123] => src_payload.IN1
sink14_data[124] => src_payload.IN1
sink14_data[125] => src_payload.IN1
sink14_data[126] => src_payload.IN1
sink14_data[127] => src_payload.IN1
sink14_data[128] => src_payload.IN1
sink14_channel[0] => src_payload.IN1
sink14_channel[1] => src_payload.IN1
sink14_channel[2] => src_payload.IN1
sink14_channel[3] => src_payload.IN1
sink14_channel[4] => src_payload.IN1
sink14_channel[5] => src_payload.IN1
sink14_channel[6] => src_payload.IN1
sink14_channel[7] => src_payload.IN1
sink14_channel[8] => src_payload.IN1
sink14_channel[9] => src_payload.IN1
sink14_channel[10] => src_payload.IN1
sink14_channel[11] => src_payload.IN1
sink14_channel[12] => src_payload.IN1
sink14_channel[13] => src_payload.IN1
sink14_channel[14] => src_payload.IN1
sink14_channel[15] => src_payload.IN1
sink14_channel[16] => src_payload.IN1
sink14_channel[17] => src_payload.IN1
sink14_channel[18] => src_payload.IN1
sink14_channel[19] => src_payload.IN1
sink14_channel[20] => src_payload.IN1
sink14_channel[21] => src_payload.IN1
sink14_startofpacket => src_payload.IN1
sink14_endofpacket => src_payload.IN1
sink14_ready <= sink14_ready.DB_MAX_OUTPUT_PORT_TYPE
sink15_valid => request[15].IN1
sink15_data[0] => src_payload.IN1
sink15_data[1] => src_payload.IN1
sink15_data[2] => src_payload.IN1
sink15_data[3] => src_payload.IN1
sink15_data[4] => src_payload.IN1
sink15_data[5] => src_payload.IN1
sink15_data[6] => src_payload.IN1
sink15_data[7] => src_payload.IN1
sink15_data[8] => src_payload.IN1
sink15_data[9] => src_payload.IN1
sink15_data[10] => src_payload.IN1
sink15_data[11] => src_payload.IN1
sink15_data[12] => src_payload.IN1
sink15_data[13] => src_payload.IN1
sink15_data[14] => src_payload.IN1
sink15_data[15] => src_payload.IN1
sink15_data[16] => src_payload.IN1
sink15_data[17] => src_payload.IN1
sink15_data[18] => src_payload.IN1
sink15_data[19] => src_payload.IN1
sink15_data[20] => src_payload.IN1
sink15_data[21] => src_payload.IN1
sink15_data[22] => src_payload.IN1
sink15_data[23] => src_payload.IN1
sink15_data[24] => src_payload.IN1
sink15_data[25] => src_payload.IN1
sink15_data[26] => src_payload.IN1
sink15_data[27] => src_payload.IN1
sink15_data[28] => src_payload.IN1
sink15_data[29] => src_payload.IN1
sink15_data[30] => src_payload.IN1
sink15_data[31] => src_payload.IN1
sink15_data[32] => src_payload.IN1
sink15_data[33] => src_payload.IN1
sink15_data[34] => src_payload.IN1
sink15_data[35] => src_payload.IN1
sink15_data[36] => src_payload.IN1
sink15_data[37] => src_payload.IN1
sink15_data[38] => src_payload.IN1
sink15_data[39] => src_payload.IN1
sink15_data[40] => src_payload.IN1
sink15_data[41] => src_payload.IN1
sink15_data[42] => src_payload.IN1
sink15_data[43] => src_payload.IN1
sink15_data[44] => src_payload.IN1
sink15_data[45] => src_payload.IN1
sink15_data[46] => src_payload.IN1
sink15_data[47] => src_payload.IN1
sink15_data[48] => src_payload.IN1
sink15_data[49] => src_payload.IN1
sink15_data[50] => src_payload.IN1
sink15_data[51] => src_payload.IN1
sink15_data[52] => src_payload.IN1
sink15_data[53] => src_payload.IN1
sink15_data[54] => src_payload.IN1
sink15_data[55] => src_payload.IN1
sink15_data[56] => src_payload.IN1
sink15_data[57] => src_payload.IN1
sink15_data[58] => src_payload.IN1
sink15_data[59] => src_payload.IN1
sink15_data[60] => src_payload.IN1
sink15_data[61] => src_payload.IN1
sink15_data[62] => src_payload.IN1
sink15_data[63] => src_payload.IN1
sink15_data[64] => src_payload.IN1
sink15_data[65] => src_payload.IN1
sink15_data[66] => src_payload.IN1
sink15_data[67] => src_payload.IN1
sink15_data[68] => src_payload.IN1
sink15_data[69] => src_payload.IN1
sink15_data[70] => src_payload.IN1
sink15_data[70] => last_cycle.IN1
sink15_data[71] => src_payload.IN1
sink15_data[72] => src_payload.IN1
sink15_data[73] => src_payload.IN1
sink15_data[74] => src_payload.IN1
sink15_data[75] => src_payload.IN1
sink15_data[76] => src_payload.IN1
sink15_data[77] => src_payload.IN1
sink15_data[78] => src_payload.IN1
sink15_data[79] => src_payload.IN1
sink15_data[80] => src_payload.IN1
sink15_data[81] => src_payload.IN1
sink15_data[82] => src_payload.IN1
sink15_data[83] => src_payload.IN1
sink15_data[84] => src_payload.IN1
sink15_data[85] => src_payload.IN1
sink15_data[86] => src_payload.IN1
sink15_data[87] => src_payload.IN1
sink15_data[88] => src_payload.IN1
sink15_data[89] => src_payload.IN1
sink15_data[90] => src_payload.IN1
sink15_data[91] => src_payload.IN1
sink15_data[92] => src_payload.IN1
sink15_data[93] => src_payload.IN1
sink15_data[94] => src_payload.IN1
sink15_data[95] => src_payload.IN1
sink15_data[96] => src_payload.IN1
sink15_data[97] => src_payload.IN1
sink15_data[98] => src_payload.IN1
sink15_data[99] => src_payload.IN1
sink15_data[100] => src_payload.IN1
sink15_data[101] => src_payload.IN1
sink15_data[102] => src_payload.IN1
sink15_data[103] => src_payload.IN1
sink15_data[104] => src_payload.IN1
sink15_data[105] => src_payload.IN1
sink15_data[106] => src_payload.IN1
sink15_data[107] => src_payload.IN1
sink15_data[108] => src_payload.IN1
sink15_data[109] => src_payload.IN1
sink15_data[110] => src_payload.IN1
sink15_data[111] => src_payload.IN1
sink15_data[112] => src_payload.IN1
sink15_data[113] => src_payload.IN1
sink15_data[114] => src_payload.IN1
sink15_data[115] => src_payload.IN1
sink15_data[116] => src_payload.IN1
sink15_data[117] => src_payload.IN1
sink15_data[118] => src_payload.IN1
sink15_data[119] => src_payload.IN1
sink15_data[120] => src_payload.IN1
sink15_data[121] => src_payload.IN1
sink15_data[122] => src_payload.IN1
sink15_data[123] => src_payload.IN1
sink15_data[124] => src_payload.IN1
sink15_data[125] => src_payload.IN1
sink15_data[126] => src_payload.IN1
sink15_data[127] => src_payload.IN1
sink15_data[128] => src_payload.IN1
sink15_channel[0] => src_payload.IN1
sink15_channel[1] => src_payload.IN1
sink15_channel[2] => src_payload.IN1
sink15_channel[3] => src_payload.IN1
sink15_channel[4] => src_payload.IN1
sink15_channel[5] => src_payload.IN1
sink15_channel[6] => src_payload.IN1
sink15_channel[7] => src_payload.IN1
sink15_channel[8] => src_payload.IN1
sink15_channel[9] => src_payload.IN1
sink15_channel[10] => src_payload.IN1
sink15_channel[11] => src_payload.IN1
sink15_channel[12] => src_payload.IN1
sink15_channel[13] => src_payload.IN1
sink15_channel[14] => src_payload.IN1
sink15_channel[15] => src_payload.IN1
sink15_channel[16] => src_payload.IN1
sink15_channel[17] => src_payload.IN1
sink15_channel[18] => src_payload.IN1
sink15_channel[19] => src_payload.IN1
sink15_channel[20] => src_payload.IN1
sink15_channel[21] => src_payload.IN1
sink15_startofpacket => src_payload.IN1
sink15_endofpacket => src_payload.IN1
sink15_ready <= sink15_ready.DB_MAX_OUTPUT_PORT_TYPE
sink16_valid => request[16].IN1
sink16_data[0] => src_payload.IN1
sink16_data[1] => src_payload.IN1
sink16_data[2] => src_payload.IN1
sink16_data[3] => src_payload.IN1
sink16_data[4] => src_payload.IN1
sink16_data[5] => src_payload.IN1
sink16_data[6] => src_payload.IN1
sink16_data[7] => src_payload.IN1
sink16_data[8] => src_payload.IN1
sink16_data[9] => src_payload.IN1
sink16_data[10] => src_payload.IN1
sink16_data[11] => src_payload.IN1
sink16_data[12] => src_payload.IN1
sink16_data[13] => src_payload.IN1
sink16_data[14] => src_payload.IN1
sink16_data[15] => src_payload.IN1
sink16_data[16] => src_payload.IN1
sink16_data[17] => src_payload.IN1
sink16_data[18] => src_payload.IN1
sink16_data[19] => src_payload.IN1
sink16_data[20] => src_payload.IN1
sink16_data[21] => src_payload.IN1
sink16_data[22] => src_payload.IN1
sink16_data[23] => src_payload.IN1
sink16_data[24] => src_payload.IN1
sink16_data[25] => src_payload.IN1
sink16_data[26] => src_payload.IN1
sink16_data[27] => src_payload.IN1
sink16_data[28] => src_payload.IN1
sink16_data[29] => src_payload.IN1
sink16_data[30] => src_payload.IN1
sink16_data[31] => src_payload.IN1
sink16_data[32] => src_payload.IN1
sink16_data[33] => src_payload.IN1
sink16_data[34] => src_payload.IN1
sink16_data[35] => src_payload.IN1
sink16_data[36] => src_payload.IN1
sink16_data[37] => src_payload.IN1
sink16_data[38] => src_payload.IN1
sink16_data[39] => src_payload.IN1
sink16_data[40] => src_payload.IN1
sink16_data[41] => src_payload.IN1
sink16_data[42] => src_payload.IN1
sink16_data[43] => src_payload.IN1
sink16_data[44] => src_payload.IN1
sink16_data[45] => src_payload.IN1
sink16_data[46] => src_payload.IN1
sink16_data[47] => src_payload.IN1
sink16_data[48] => src_payload.IN1
sink16_data[49] => src_payload.IN1
sink16_data[50] => src_payload.IN1
sink16_data[51] => src_payload.IN1
sink16_data[52] => src_payload.IN1
sink16_data[53] => src_payload.IN1
sink16_data[54] => src_payload.IN1
sink16_data[55] => src_payload.IN1
sink16_data[56] => src_payload.IN1
sink16_data[57] => src_payload.IN1
sink16_data[58] => src_payload.IN1
sink16_data[59] => src_payload.IN1
sink16_data[60] => src_payload.IN1
sink16_data[61] => src_payload.IN1
sink16_data[62] => src_payload.IN1
sink16_data[63] => src_payload.IN1
sink16_data[64] => src_payload.IN1
sink16_data[65] => src_payload.IN1
sink16_data[66] => src_payload.IN1
sink16_data[67] => src_payload.IN1
sink16_data[68] => src_payload.IN1
sink16_data[69] => src_payload.IN1
sink16_data[70] => src_payload.IN1
sink16_data[70] => last_cycle.IN1
sink16_data[71] => src_payload.IN1
sink16_data[72] => src_payload.IN1
sink16_data[73] => src_payload.IN1
sink16_data[74] => src_payload.IN1
sink16_data[75] => src_payload.IN1
sink16_data[76] => src_payload.IN1
sink16_data[77] => src_payload.IN1
sink16_data[78] => src_payload.IN1
sink16_data[79] => src_payload.IN1
sink16_data[80] => src_payload.IN1
sink16_data[81] => src_payload.IN1
sink16_data[82] => src_payload.IN1
sink16_data[83] => src_payload.IN1
sink16_data[84] => src_payload.IN1
sink16_data[85] => src_payload.IN1
sink16_data[86] => src_payload.IN1
sink16_data[87] => src_payload.IN1
sink16_data[88] => src_payload.IN1
sink16_data[89] => src_payload.IN1
sink16_data[90] => src_payload.IN1
sink16_data[91] => src_payload.IN1
sink16_data[92] => src_payload.IN1
sink16_data[93] => src_payload.IN1
sink16_data[94] => src_payload.IN1
sink16_data[95] => src_payload.IN1
sink16_data[96] => src_payload.IN1
sink16_data[97] => src_payload.IN1
sink16_data[98] => src_payload.IN1
sink16_data[99] => src_payload.IN1
sink16_data[100] => src_payload.IN1
sink16_data[101] => src_payload.IN1
sink16_data[102] => src_payload.IN1
sink16_data[103] => src_payload.IN1
sink16_data[104] => src_payload.IN1
sink16_data[105] => src_payload.IN1
sink16_data[106] => src_payload.IN1
sink16_data[107] => src_payload.IN1
sink16_data[108] => src_payload.IN1
sink16_data[109] => src_payload.IN1
sink16_data[110] => src_payload.IN1
sink16_data[111] => src_payload.IN1
sink16_data[112] => src_payload.IN1
sink16_data[113] => src_payload.IN1
sink16_data[114] => src_payload.IN1
sink16_data[115] => src_payload.IN1
sink16_data[116] => src_payload.IN1
sink16_data[117] => src_payload.IN1
sink16_data[118] => src_payload.IN1
sink16_data[119] => src_payload.IN1
sink16_data[120] => src_payload.IN1
sink16_data[121] => src_payload.IN1
sink16_data[122] => src_payload.IN1
sink16_data[123] => src_payload.IN1
sink16_data[124] => src_payload.IN1
sink16_data[125] => src_payload.IN1
sink16_data[126] => src_payload.IN1
sink16_data[127] => src_payload.IN1
sink16_data[128] => src_payload.IN1
sink16_channel[0] => src_payload.IN1
sink16_channel[1] => src_payload.IN1
sink16_channel[2] => src_payload.IN1
sink16_channel[3] => src_payload.IN1
sink16_channel[4] => src_payload.IN1
sink16_channel[5] => src_payload.IN1
sink16_channel[6] => src_payload.IN1
sink16_channel[7] => src_payload.IN1
sink16_channel[8] => src_payload.IN1
sink16_channel[9] => src_payload.IN1
sink16_channel[10] => src_payload.IN1
sink16_channel[11] => src_payload.IN1
sink16_channel[12] => src_payload.IN1
sink16_channel[13] => src_payload.IN1
sink16_channel[14] => src_payload.IN1
sink16_channel[15] => src_payload.IN1
sink16_channel[16] => src_payload.IN1
sink16_channel[17] => src_payload.IN1
sink16_channel[18] => src_payload.IN1
sink16_channel[19] => src_payload.IN1
sink16_channel[20] => src_payload.IN1
sink16_channel[21] => src_payload.IN1
sink16_startofpacket => src_payload.IN1
sink16_endofpacket => src_payload.IN1
sink16_ready <= sink16_ready.DB_MAX_OUTPUT_PORT_TYPE
sink17_valid => request[17].IN1
sink17_data[0] => src_payload.IN1
sink17_data[1] => src_payload.IN1
sink17_data[2] => src_payload.IN1
sink17_data[3] => src_payload.IN1
sink17_data[4] => src_payload.IN1
sink17_data[5] => src_payload.IN1
sink17_data[6] => src_payload.IN1
sink17_data[7] => src_payload.IN1
sink17_data[8] => src_payload.IN1
sink17_data[9] => src_payload.IN1
sink17_data[10] => src_payload.IN1
sink17_data[11] => src_payload.IN1
sink17_data[12] => src_payload.IN1
sink17_data[13] => src_payload.IN1
sink17_data[14] => src_payload.IN1
sink17_data[15] => src_payload.IN1
sink17_data[16] => src_payload.IN1
sink17_data[17] => src_payload.IN1
sink17_data[18] => src_payload.IN1
sink17_data[19] => src_payload.IN1
sink17_data[20] => src_payload.IN1
sink17_data[21] => src_payload.IN1
sink17_data[22] => src_payload.IN1
sink17_data[23] => src_payload.IN1
sink17_data[24] => src_payload.IN1
sink17_data[25] => src_payload.IN1
sink17_data[26] => src_payload.IN1
sink17_data[27] => src_payload.IN1
sink17_data[28] => src_payload.IN1
sink17_data[29] => src_payload.IN1
sink17_data[30] => src_payload.IN1
sink17_data[31] => src_payload.IN1
sink17_data[32] => src_payload.IN1
sink17_data[33] => src_payload.IN1
sink17_data[34] => src_payload.IN1
sink17_data[35] => src_payload.IN1
sink17_data[36] => src_payload.IN1
sink17_data[37] => src_payload.IN1
sink17_data[38] => src_payload.IN1
sink17_data[39] => src_payload.IN1
sink17_data[40] => src_payload.IN1
sink17_data[41] => src_payload.IN1
sink17_data[42] => src_payload.IN1
sink17_data[43] => src_payload.IN1
sink17_data[44] => src_payload.IN1
sink17_data[45] => src_payload.IN1
sink17_data[46] => src_payload.IN1
sink17_data[47] => src_payload.IN1
sink17_data[48] => src_payload.IN1
sink17_data[49] => src_payload.IN1
sink17_data[50] => src_payload.IN1
sink17_data[51] => src_payload.IN1
sink17_data[52] => src_payload.IN1
sink17_data[53] => src_payload.IN1
sink17_data[54] => src_payload.IN1
sink17_data[55] => src_payload.IN1
sink17_data[56] => src_payload.IN1
sink17_data[57] => src_payload.IN1
sink17_data[58] => src_payload.IN1
sink17_data[59] => src_payload.IN1
sink17_data[60] => src_payload.IN1
sink17_data[61] => src_payload.IN1
sink17_data[62] => src_payload.IN1
sink17_data[63] => src_payload.IN1
sink17_data[64] => src_payload.IN1
sink17_data[65] => src_payload.IN1
sink17_data[66] => src_payload.IN1
sink17_data[67] => src_payload.IN1
sink17_data[68] => src_payload.IN1
sink17_data[69] => src_payload.IN1
sink17_data[70] => src_payload.IN1
sink17_data[70] => last_cycle.IN1
sink17_data[71] => src_payload.IN1
sink17_data[72] => src_payload.IN1
sink17_data[73] => src_payload.IN1
sink17_data[74] => src_payload.IN1
sink17_data[75] => src_payload.IN1
sink17_data[76] => src_payload.IN1
sink17_data[77] => src_payload.IN1
sink17_data[78] => src_payload.IN1
sink17_data[79] => src_payload.IN1
sink17_data[80] => src_payload.IN1
sink17_data[81] => src_payload.IN1
sink17_data[82] => src_payload.IN1
sink17_data[83] => src_payload.IN1
sink17_data[84] => src_payload.IN1
sink17_data[85] => src_payload.IN1
sink17_data[86] => src_payload.IN1
sink17_data[87] => src_payload.IN1
sink17_data[88] => src_payload.IN1
sink17_data[89] => src_payload.IN1
sink17_data[90] => src_payload.IN1
sink17_data[91] => src_payload.IN1
sink17_data[92] => src_payload.IN1
sink17_data[93] => src_payload.IN1
sink17_data[94] => src_payload.IN1
sink17_data[95] => src_payload.IN1
sink17_data[96] => src_payload.IN1
sink17_data[97] => src_payload.IN1
sink17_data[98] => src_payload.IN1
sink17_data[99] => src_payload.IN1
sink17_data[100] => src_payload.IN1
sink17_data[101] => src_payload.IN1
sink17_data[102] => src_payload.IN1
sink17_data[103] => src_payload.IN1
sink17_data[104] => src_payload.IN1
sink17_data[105] => src_payload.IN1
sink17_data[106] => src_payload.IN1
sink17_data[107] => src_payload.IN1
sink17_data[108] => src_payload.IN1
sink17_data[109] => src_payload.IN1
sink17_data[110] => src_payload.IN1
sink17_data[111] => src_payload.IN1
sink17_data[112] => src_payload.IN1
sink17_data[113] => src_payload.IN1
sink17_data[114] => src_payload.IN1
sink17_data[115] => src_payload.IN1
sink17_data[116] => src_payload.IN1
sink17_data[117] => src_payload.IN1
sink17_data[118] => src_payload.IN1
sink17_data[119] => src_payload.IN1
sink17_data[120] => src_payload.IN1
sink17_data[121] => src_payload.IN1
sink17_data[122] => src_payload.IN1
sink17_data[123] => src_payload.IN1
sink17_data[124] => src_payload.IN1
sink17_data[125] => src_payload.IN1
sink17_data[126] => src_payload.IN1
sink17_data[127] => src_payload.IN1
sink17_data[128] => src_payload.IN1
sink17_channel[0] => src_payload.IN1
sink17_channel[1] => src_payload.IN1
sink17_channel[2] => src_payload.IN1
sink17_channel[3] => src_payload.IN1
sink17_channel[4] => src_payload.IN1
sink17_channel[5] => src_payload.IN1
sink17_channel[6] => src_payload.IN1
sink17_channel[7] => src_payload.IN1
sink17_channel[8] => src_payload.IN1
sink17_channel[9] => src_payload.IN1
sink17_channel[10] => src_payload.IN1
sink17_channel[11] => src_payload.IN1
sink17_channel[12] => src_payload.IN1
sink17_channel[13] => src_payload.IN1
sink17_channel[14] => src_payload.IN1
sink17_channel[15] => src_payload.IN1
sink17_channel[16] => src_payload.IN1
sink17_channel[17] => src_payload.IN1
sink17_channel[18] => src_payload.IN1
sink17_channel[19] => src_payload.IN1
sink17_channel[20] => src_payload.IN1
sink17_channel[21] => src_payload.IN1
sink17_startofpacket => src_payload.IN1
sink17_endofpacket => src_payload.IN1
sink17_ready <= sink17_ready.DB_MAX_OUTPUT_PORT_TYPE
sink18_valid => request[18].IN1
sink18_data[0] => src_payload.IN1
sink18_data[1] => src_payload.IN1
sink18_data[2] => src_payload.IN1
sink18_data[3] => src_payload.IN1
sink18_data[4] => src_payload.IN1
sink18_data[5] => src_payload.IN1
sink18_data[6] => src_payload.IN1
sink18_data[7] => src_payload.IN1
sink18_data[8] => src_payload.IN1
sink18_data[9] => src_payload.IN1
sink18_data[10] => src_payload.IN1
sink18_data[11] => src_payload.IN1
sink18_data[12] => src_payload.IN1
sink18_data[13] => src_payload.IN1
sink18_data[14] => src_payload.IN1
sink18_data[15] => src_payload.IN1
sink18_data[16] => src_payload.IN1
sink18_data[17] => src_payload.IN1
sink18_data[18] => src_payload.IN1
sink18_data[19] => src_payload.IN1
sink18_data[20] => src_payload.IN1
sink18_data[21] => src_payload.IN1
sink18_data[22] => src_payload.IN1
sink18_data[23] => src_payload.IN1
sink18_data[24] => src_payload.IN1
sink18_data[25] => src_payload.IN1
sink18_data[26] => src_payload.IN1
sink18_data[27] => src_payload.IN1
sink18_data[28] => src_payload.IN1
sink18_data[29] => src_payload.IN1
sink18_data[30] => src_payload.IN1
sink18_data[31] => src_payload.IN1
sink18_data[32] => src_payload.IN1
sink18_data[33] => src_payload.IN1
sink18_data[34] => src_payload.IN1
sink18_data[35] => src_payload.IN1
sink18_data[36] => src_payload.IN1
sink18_data[37] => src_payload.IN1
sink18_data[38] => src_payload.IN1
sink18_data[39] => src_payload.IN1
sink18_data[40] => src_payload.IN1
sink18_data[41] => src_payload.IN1
sink18_data[42] => src_payload.IN1
sink18_data[43] => src_payload.IN1
sink18_data[44] => src_payload.IN1
sink18_data[45] => src_payload.IN1
sink18_data[46] => src_payload.IN1
sink18_data[47] => src_payload.IN1
sink18_data[48] => src_payload.IN1
sink18_data[49] => src_payload.IN1
sink18_data[50] => src_payload.IN1
sink18_data[51] => src_payload.IN1
sink18_data[52] => src_payload.IN1
sink18_data[53] => src_payload.IN1
sink18_data[54] => src_payload.IN1
sink18_data[55] => src_payload.IN1
sink18_data[56] => src_payload.IN1
sink18_data[57] => src_payload.IN1
sink18_data[58] => src_payload.IN1
sink18_data[59] => src_payload.IN1
sink18_data[60] => src_payload.IN1
sink18_data[61] => src_payload.IN1
sink18_data[62] => src_payload.IN1
sink18_data[63] => src_payload.IN1
sink18_data[64] => src_payload.IN1
sink18_data[65] => src_payload.IN1
sink18_data[66] => src_payload.IN1
sink18_data[67] => src_payload.IN1
sink18_data[68] => src_payload.IN1
sink18_data[69] => src_payload.IN1
sink18_data[70] => src_payload.IN1
sink18_data[70] => last_cycle.IN1
sink18_data[71] => src_payload.IN1
sink18_data[72] => src_payload.IN1
sink18_data[73] => src_payload.IN1
sink18_data[74] => src_payload.IN1
sink18_data[75] => src_payload.IN1
sink18_data[76] => src_payload.IN1
sink18_data[77] => src_payload.IN1
sink18_data[78] => src_payload.IN1
sink18_data[79] => src_payload.IN1
sink18_data[80] => src_payload.IN1
sink18_data[81] => src_payload.IN1
sink18_data[82] => src_payload.IN1
sink18_data[83] => src_payload.IN1
sink18_data[84] => src_payload.IN1
sink18_data[85] => src_payload.IN1
sink18_data[86] => src_payload.IN1
sink18_data[87] => src_payload.IN1
sink18_data[88] => src_payload.IN1
sink18_data[89] => src_payload.IN1
sink18_data[90] => src_payload.IN1
sink18_data[91] => src_payload.IN1
sink18_data[92] => src_payload.IN1
sink18_data[93] => src_payload.IN1
sink18_data[94] => src_payload.IN1
sink18_data[95] => src_payload.IN1
sink18_data[96] => src_payload.IN1
sink18_data[97] => src_payload.IN1
sink18_data[98] => src_payload.IN1
sink18_data[99] => src_payload.IN1
sink18_data[100] => src_payload.IN1
sink18_data[101] => src_payload.IN1
sink18_data[102] => src_payload.IN1
sink18_data[103] => src_payload.IN1
sink18_data[104] => src_payload.IN1
sink18_data[105] => src_payload.IN1
sink18_data[106] => src_payload.IN1
sink18_data[107] => src_payload.IN1
sink18_data[108] => src_payload.IN1
sink18_data[109] => src_payload.IN1
sink18_data[110] => src_payload.IN1
sink18_data[111] => src_payload.IN1
sink18_data[112] => src_payload.IN1
sink18_data[113] => src_payload.IN1
sink18_data[114] => src_payload.IN1
sink18_data[115] => src_payload.IN1
sink18_data[116] => src_payload.IN1
sink18_data[117] => src_payload.IN1
sink18_data[118] => src_payload.IN1
sink18_data[119] => src_payload.IN1
sink18_data[120] => src_payload.IN1
sink18_data[121] => src_payload.IN1
sink18_data[122] => src_payload.IN1
sink18_data[123] => src_payload.IN1
sink18_data[124] => src_payload.IN1
sink18_data[125] => src_payload.IN1
sink18_data[126] => src_payload.IN1
sink18_data[127] => src_payload.IN1
sink18_data[128] => src_payload.IN1
sink18_channel[0] => src_payload.IN1
sink18_channel[1] => src_payload.IN1
sink18_channel[2] => src_payload.IN1
sink18_channel[3] => src_payload.IN1
sink18_channel[4] => src_payload.IN1
sink18_channel[5] => src_payload.IN1
sink18_channel[6] => src_payload.IN1
sink18_channel[7] => src_payload.IN1
sink18_channel[8] => src_payload.IN1
sink18_channel[9] => src_payload.IN1
sink18_channel[10] => src_payload.IN1
sink18_channel[11] => src_payload.IN1
sink18_channel[12] => src_payload.IN1
sink18_channel[13] => src_payload.IN1
sink18_channel[14] => src_payload.IN1
sink18_channel[15] => src_payload.IN1
sink18_channel[16] => src_payload.IN1
sink18_channel[17] => src_payload.IN1
sink18_channel[18] => src_payload.IN1
sink18_channel[19] => src_payload.IN1
sink18_channel[20] => src_payload.IN1
sink18_channel[21] => src_payload.IN1
sink18_startofpacket => src_payload.IN1
sink18_endofpacket => src_payload.IN1
sink18_ready <= sink18_ready.DB_MAX_OUTPUT_PORT_TYPE
sink19_valid => request[19].IN1
sink19_data[0] => src_payload.IN1
sink19_data[1] => src_payload.IN1
sink19_data[2] => src_payload.IN1
sink19_data[3] => src_payload.IN1
sink19_data[4] => src_payload.IN1
sink19_data[5] => src_payload.IN1
sink19_data[6] => src_payload.IN1
sink19_data[7] => src_payload.IN1
sink19_data[8] => src_payload.IN1
sink19_data[9] => src_payload.IN1
sink19_data[10] => src_payload.IN1
sink19_data[11] => src_payload.IN1
sink19_data[12] => src_payload.IN1
sink19_data[13] => src_payload.IN1
sink19_data[14] => src_payload.IN1
sink19_data[15] => src_payload.IN1
sink19_data[16] => src_payload.IN1
sink19_data[17] => src_payload.IN1
sink19_data[18] => src_payload.IN1
sink19_data[19] => src_payload.IN1
sink19_data[20] => src_payload.IN1
sink19_data[21] => src_payload.IN1
sink19_data[22] => src_payload.IN1
sink19_data[23] => src_payload.IN1
sink19_data[24] => src_payload.IN1
sink19_data[25] => src_payload.IN1
sink19_data[26] => src_payload.IN1
sink19_data[27] => src_payload.IN1
sink19_data[28] => src_payload.IN1
sink19_data[29] => src_payload.IN1
sink19_data[30] => src_payload.IN1
sink19_data[31] => src_payload.IN1
sink19_data[32] => src_payload.IN1
sink19_data[33] => src_payload.IN1
sink19_data[34] => src_payload.IN1
sink19_data[35] => src_payload.IN1
sink19_data[36] => src_payload.IN1
sink19_data[37] => src_payload.IN1
sink19_data[38] => src_payload.IN1
sink19_data[39] => src_payload.IN1
sink19_data[40] => src_payload.IN1
sink19_data[41] => src_payload.IN1
sink19_data[42] => src_payload.IN1
sink19_data[43] => src_payload.IN1
sink19_data[44] => src_payload.IN1
sink19_data[45] => src_payload.IN1
sink19_data[46] => src_payload.IN1
sink19_data[47] => src_payload.IN1
sink19_data[48] => src_payload.IN1
sink19_data[49] => src_payload.IN1
sink19_data[50] => src_payload.IN1
sink19_data[51] => src_payload.IN1
sink19_data[52] => src_payload.IN1
sink19_data[53] => src_payload.IN1
sink19_data[54] => src_payload.IN1
sink19_data[55] => src_payload.IN1
sink19_data[56] => src_payload.IN1
sink19_data[57] => src_payload.IN1
sink19_data[58] => src_payload.IN1
sink19_data[59] => src_payload.IN1
sink19_data[60] => src_payload.IN1
sink19_data[61] => src_payload.IN1
sink19_data[62] => src_payload.IN1
sink19_data[63] => src_payload.IN1
sink19_data[64] => src_payload.IN1
sink19_data[65] => src_payload.IN1
sink19_data[66] => src_payload.IN1
sink19_data[67] => src_payload.IN1
sink19_data[68] => src_payload.IN1
sink19_data[69] => src_payload.IN1
sink19_data[70] => src_payload.IN1
sink19_data[70] => last_cycle.IN1
sink19_data[71] => src_payload.IN1
sink19_data[72] => src_payload.IN1
sink19_data[73] => src_payload.IN1
sink19_data[74] => src_payload.IN1
sink19_data[75] => src_payload.IN1
sink19_data[76] => src_payload.IN1
sink19_data[77] => src_payload.IN1
sink19_data[78] => src_payload.IN1
sink19_data[79] => src_payload.IN1
sink19_data[80] => src_payload.IN1
sink19_data[81] => src_payload.IN1
sink19_data[82] => src_payload.IN1
sink19_data[83] => src_payload.IN1
sink19_data[84] => src_payload.IN1
sink19_data[85] => src_payload.IN1
sink19_data[86] => src_payload.IN1
sink19_data[87] => src_payload.IN1
sink19_data[88] => src_payload.IN1
sink19_data[89] => src_payload.IN1
sink19_data[90] => src_payload.IN1
sink19_data[91] => src_payload.IN1
sink19_data[92] => src_payload.IN1
sink19_data[93] => src_payload.IN1
sink19_data[94] => src_payload.IN1
sink19_data[95] => src_payload.IN1
sink19_data[96] => src_payload.IN1
sink19_data[97] => src_payload.IN1
sink19_data[98] => src_payload.IN1
sink19_data[99] => src_payload.IN1
sink19_data[100] => src_payload.IN1
sink19_data[101] => src_payload.IN1
sink19_data[102] => src_payload.IN1
sink19_data[103] => src_payload.IN1
sink19_data[104] => src_payload.IN1
sink19_data[105] => src_payload.IN1
sink19_data[106] => src_payload.IN1
sink19_data[107] => src_payload.IN1
sink19_data[108] => src_payload.IN1
sink19_data[109] => src_payload.IN1
sink19_data[110] => src_payload.IN1
sink19_data[111] => src_payload.IN1
sink19_data[112] => src_payload.IN1
sink19_data[113] => src_payload.IN1
sink19_data[114] => src_payload.IN1
sink19_data[115] => src_payload.IN1
sink19_data[116] => src_payload.IN1
sink19_data[117] => src_payload.IN1
sink19_data[118] => src_payload.IN1
sink19_data[119] => src_payload.IN1
sink19_data[120] => src_payload.IN1
sink19_data[121] => src_payload.IN1
sink19_data[122] => src_payload.IN1
sink19_data[123] => src_payload.IN1
sink19_data[124] => src_payload.IN1
sink19_data[125] => src_payload.IN1
sink19_data[126] => src_payload.IN1
sink19_data[127] => src_payload.IN1
sink19_data[128] => src_payload.IN1
sink19_channel[0] => src_payload.IN1
sink19_channel[1] => src_payload.IN1
sink19_channel[2] => src_payload.IN1
sink19_channel[3] => src_payload.IN1
sink19_channel[4] => src_payload.IN1
sink19_channel[5] => src_payload.IN1
sink19_channel[6] => src_payload.IN1
sink19_channel[7] => src_payload.IN1
sink19_channel[8] => src_payload.IN1
sink19_channel[9] => src_payload.IN1
sink19_channel[10] => src_payload.IN1
sink19_channel[11] => src_payload.IN1
sink19_channel[12] => src_payload.IN1
sink19_channel[13] => src_payload.IN1
sink19_channel[14] => src_payload.IN1
sink19_channel[15] => src_payload.IN1
sink19_channel[16] => src_payload.IN1
sink19_channel[17] => src_payload.IN1
sink19_channel[18] => src_payload.IN1
sink19_channel[19] => src_payload.IN1
sink19_channel[20] => src_payload.IN1
sink19_channel[21] => src_payload.IN1
sink19_startofpacket => src_payload.IN1
sink19_endofpacket => src_payload.IN1
sink19_ready <= sink19_ready.DB_MAX_OUTPUT_PORT_TYPE
sink20_valid => request[20].IN1
sink20_data[0] => src_payload.IN1
sink20_data[1] => src_payload.IN1
sink20_data[2] => src_payload.IN1
sink20_data[3] => src_payload.IN1
sink20_data[4] => src_payload.IN1
sink20_data[5] => src_payload.IN1
sink20_data[6] => src_payload.IN1
sink20_data[7] => src_payload.IN1
sink20_data[8] => src_payload.IN1
sink20_data[9] => src_payload.IN1
sink20_data[10] => src_payload.IN1
sink20_data[11] => src_payload.IN1
sink20_data[12] => src_payload.IN1
sink20_data[13] => src_payload.IN1
sink20_data[14] => src_payload.IN1
sink20_data[15] => src_payload.IN1
sink20_data[16] => src_payload.IN1
sink20_data[17] => src_payload.IN1
sink20_data[18] => src_payload.IN1
sink20_data[19] => src_payload.IN1
sink20_data[20] => src_payload.IN1
sink20_data[21] => src_payload.IN1
sink20_data[22] => src_payload.IN1
sink20_data[23] => src_payload.IN1
sink20_data[24] => src_payload.IN1
sink20_data[25] => src_payload.IN1
sink20_data[26] => src_payload.IN1
sink20_data[27] => src_payload.IN1
sink20_data[28] => src_payload.IN1
sink20_data[29] => src_payload.IN1
sink20_data[30] => src_payload.IN1
sink20_data[31] => src_payload.IN1
sink20_data[32] => src_payload.IN1
sink20_data[33] => src_payload.IN1
sink20_data[34] => src_payload.IN1
sink20_data[35] => src_payload.IN1
sink20_data[36] => src_payload.IN1
sink20_data[37] => src_payload.IN1
sink20_data[38] => src_payload.IN1
sink20_data[39] => src_payload.IN1
sink20_data[40] => src_payload.IN1
sink20_data[41] => src_payload.IN1
sink20_data[42] => src_payload.IN1
sink20_data[43] => src_payload.IN1
sink20_data[44] => src_payload.IN1
sink20_data[45] => src_payload.IN1
sink20_data[46] => src_payload.IN1
sink20_data[47] => src_payload.IN1
sink20_data[48] => src_payload.IN1
sink20_data[49] => src_payload.IN1
sink20_data[50] => src_payload.IN1
sink20_data[51] => src_payload.IN1
sink20_data[52] => src_payload.IN1
sink20_data[53] => src_payload.IN1
sink20_data[54] => src_payload.IN1
sink20_data[55] => src_payload.IN1
sink20_data[56] => src_payload.IN1
sink20_data[57] => src_payload.IN1
sink20_data[58] => src_payload.IN1
sink20_data[59] => src_payload.IN1
sink20_data[60] => src_payload.IN1
sink20_data[61] => src_payload.IN1
sink20_data[62] => src_payload.IN1
sink20_data[63] => src_payload.IN1
sink20_data[64] => src_payload.IN1
sink20_data[65] => src_payload.IN1
sink20_data[66] => src_payload.IN1
sink20_data[67] => src_payload.IN1
sink20_data[68] => src_payload.IN1
sink20_data[69] => src_payload.IN1
sink20_data[70] => src_payload.IN1
sink20_data[70] => last_cycle.IN1
sink20_data[71] => src_payload.IN1
sink20_data[72] => src_payload.IN1
sink20_data[73] => src_payload.IN1
sink20_data[74] => src_payload.IN1
sink20_data[75] => src_payload.IN1
sink20_data[76] => src_payload.IN1
sink20_data[77] => src_payload.IN1
sink20_data[78] => src_payload.IN1
sink20_data[79] => src_payload.IN1
sink20_data[80] => src_payload.IN1
sink20_data[81] => src_payload.IN1
sink20_data[82] => src_payload.IN1
sink20_data[83] => src_payload.IN1
sink20_data[84] => src_payload.IN1
sink20_data[85] => src_payload.IN1
sink20_data[86] => src_payload.IN1
sink20_data[87] => src_payload.IN1
sink20_data[88] => src_payload.IN1
sink20_data[89] => src_payload.IN1
sink20_data[90] => src_payload.IN1
sink20_data[91] => src_payload.IN1
sink20_data[92] => src_payload.IN1
sink20_data[93] => src_payload.IN1
sink20_data[94] => src_payload.IN1
sink20_data[95] => src_payload.IN1
sink20_data[96] => src_payload.IN1
sink20_data[97] => src_payload.IN1
sink20_data[98] => src_payload.IN1
sink20_data[99] => src_payload.IN1
sink20_data[100] => src_payload.IN1
sink20_data[101] => src_payload.IN1
sink20_data[102] => src_payload.IN1
sink20_data[103] => src_payload.IN1
sink20_data[104] => src_payload.IN1
sink20_data[105] => src_payload.IN1
sink20_data[106] => src_payload.IN1
sink20_data[107] => src_payload.IN1
sink20_data[108] => src_payload.IN1
sink20_data[109] => src_payload.IN1
sink20_data[110] => src_payload.IN1
sink20_data[111] => src_payload.IN1
sink20_data[112] => src_payload.IN1
sink20_data[113] => src_payload.IN1
sink20_data[114] => src_payload.IN1
sink20_data[115] => src_payload.IN1
sink20_data[116] => src_payload.IN1
sink20_data[117] => src_payload.IN1
sink20_data[118] => src_payload.IN1
sink20_data[119] => src_payload.IN1
sink20_data[120] => src_payload.IN1
sink20_data[121] => src_payload.IN1
sink20_data[122] => src_payload.IN1
sink20_data[123] => src_payload.IN1
sink20_data[124] => src_payload.IN1
sink20_data[125] => src_payload.IN1
sink20_data[126] => src_payload.IN1
sink20_data[127] => src_payload.IN1
sink20_data[128] => src_payload.IN1
sink20_channel[0] => src_payload.IN1
sink20_channel[1] => src_payload.IN1
sink20_channel[2] => src_payload.IN1
sink20_channel[3] => src_payload.IN1
sink20_channel[4] => src_payload.IN1
sink20_channel[5] => src_payload.IN1
sink20_channel[6] => src_payload.IN1
sink20_channel[7] => src_payload.IN1
sink20_channel[8] => src_payload.IN1
sink20_channel[9] => src_payload.IN1
sink20_channel[10] => src_payload.IN1
sink20_channel[11] => src_payload.IN1
sink20_channel[12] => src_payload.IN1
sink20_channel[13] => src_payload.IN1
sink20_channel[14] => src_payload.IN1
sink20_channel[15] => src_payload.IN1
sink20_channel[16] => src_payload.IN1
sink20_channel[17] => src_payload.IN1
sink20_channel[18] => src_payload.IN1
sink20_channel[19] => src_payload.IN1
sink20_channel[20] => src_payload.IN1
sink20_channel[21] => src_payload.IN1
sink20_startofpacket => src_payload.IN1
sink20_endofpacket => src_payload.IN1
sink20_ready <= sink20_ready.DB_MAX_OUTPUT_PORT_TYPE
sink21_valid => request[21].IN1
sink21_data[0] => src_payload.IN1
sink21_data[1] => src_payload.IN1
sink21_data[2] => src_payload.IN1
sink21_data[3] => src_payload.IN1
sink21_data[4] => src_payload.IN1
sink21_data[5] => src_payload.IN1
sink21_data[6] => src_payload.IN1
sink21_data[7] => src_payload.IN1
sink21_data[8] => src_payload.IN1
sink21_data[9] => src_payload.IN1
sink21_data[10] => src_payload.IN1
sink21_data[11] => src_payload.IN1
sink21_data[12] => src_payload.IN1
sink21_data[13] => src_payload.IN1
sink21_data[14] => src_payload.IN1
sink21_data[15] => src_payload.IN1
sink21_data[16] => src_payload.IN1
sink21_data[17] => src_payload.IN1
sink21_data[18] => src_payload.IN1
sink21_data[19] => src_payload.IN1
sink21_data[20] => src_payload.IN1
sink21_data[21] => src_payload.IN1
sink21_data[22] => src_payload.IN1
sink21_data[23] => src_payload.IN1
sink21_data[24] => src_payload.IN1
sink21_data[25] => src_payload.IN1
sink21_data[26] => src_payload.IN1
sink21_data[27] => src_payload.IN1
sink21_data[28] => src_payload.IN1
sink21_data[29] => src_payload.IN1
sink21_data[30] => src_payload.IN1
sink21_data[31] => src_payload.IN1
sink21_data[32] => src_payload.IN1
sink21_data[33] => src_payload.IN1
sink21_data[34] => src_payload.IN1
sink21_data[35] => src_payload.IN1
sink21_data[36] => src_payload.IN1
sink21_data[37] => src_payload.IN1
sink21_data[38] => src_payload.IN1
sink21_data[39] => src_payload.IN1
sink21_data[40] => src_payload.IN1
sink21_data[41] => src_payload.IN1
sink21_data[42] => src_payload.IN1
sink21_data[43] => src_payload.IN1
sink21_data[44] => src_payload.IN1
sink21_data[45] => src_payload.IN1
sink21_data[46] => src_payload.IN1
sink21_data[47] => src_payload.IN1
sink21_data[48] => src_payload.IN1
sink21_data[49] => src_payload.IN1
sink21_data[50] => src_payload.IN1
sink21_data[51] => src_payload.IN1
sink21_data[52] => src_payload.IN1
sink21_data[53] => src_payload.IN1
sink21_data[54] => src_payload.IN1
sink21_data[55] => src_payload.IN1
sink21_data[56] => src_payload.IN1
sink21_data[57] => src_payload.IN1
sink21_data[58] => src_payload.IN1
sink21_data[59] => src_payload.IN1
sink21_data[60] => src_payload.IN1
sink21_data[61] => src_payload.IN1
sink21_data[62] => src_payload.IN1
sink21_data[63] => src_payload.IN1
sink21_data[64] => src_payload.IN1
sink21_data[65] => src_payload.IN1
sink21_data[66] => src_payload.IN1
sink21_data[67] => src_payload.IN1
sink21_data[68] => src_payload.IN1
sink21_data[69] => src_payload.IN1
sink21_data[70] => src_payload.IN1
sink21_data[70] => last_cycle.IN1
sink21_data[71] => src_payload.IN1
sink21_data[72] => src_payload.IN1
sink21_data[73] => src_payload.IN1
sink21_data[74] => src_payload.IN1
sink21_data[75] => src_payload.IN1
sink21_data[76] => src_payload.IN1
sink21_data[77] => src_payload.IN1
sink21_data[78] => src_payload.IN1
sink21_data[79] => src_payload.IN1
sink21_data[80] => src_payload.IN1
sink21_data[81] => src_payload.IN1
sink21_data[82] => src_payload.IN1
sink21_data[83] => src_payload.IN1
sink21_data[84] => src_payload.IN1
sink21_data[85] => src_payload.IN1
sink21_data[86] => src_payload.IN1
sink21_data[87] => src_payload.IN1
sink21_data[88] => src_payload.IN1
sink21_data[89] => src_payload.IN1
sink21_data[90] => src_payload.IN1
sink21_data[91] => src_payload.IN1
sink21_data[92] => src_payload.IN1
sink21_data[93] => src_payload.IN1
sink21_data[94] => src_payload.IN1
sink21_data[95] => src_payload.IN1
sink21_data[96] => src_payload.IN1
sink21_data[97] => src_payload.IN1
sink21_data[98] => src_payload.IN1
sink21_data[99] => src_payload.IN1
sink21_data[100] => src_payload.IN1
sink21_data[101] => src_payload.IN1
sink21_data[102] => src_payload.IN1
sink21_data[103] => src_payload.IN1
sink21_data[104] => src_payload.IN1
sink21_data[105] => src_payload.IN1
sink21_data[106] => src_payload.IN1
sink21_data[107] => src_payload.IN1
sink21_data[108] => src_payload.IN1
sink21_data[109] => src_payload.IN1
sink21_data[110] => src_payload.IN1
sink21_data[111] => src_payload.IN1
sink21_data[112] => src_payload.IN1
sink21_data[113] => src_payload.IN1
sink21_data[114] => src_payload.IN1
sink21_data[115] => src_payload.IN1
sink21_data[116] => src_payload.IN1
sink21_data[117] => src_payload.IN1
sink21_data[118] => src_payload.IN1
sink21_data[119] => src_payload.IN1
sink21_data[120] => src_payload.IN1
sink21_data[121] => src_payload.IN1
sink21_data[122] => src_payload.IN1
sink21_data[123] => src_payload.IN1
sink21_data[124] => src_payload.IN1
sink21_data[125] => src_payload.IN1
sink21_data[126] => src_payload.IN1
sink21_data[127] => src_payload.IN1
sink21_data[128] => src_payload.IN1
sink21_channel[0] => src_payload.IN1
sink21_channel[1] => src_payload.IN1
sink21_channel[2] => src_payload.IN1
sink21_channel[3] => src_payload.IN1
sink21_channel[4] => src_payload.IN1
sink21_channel[5] => src_payload.IN1
sink21_channel[6] => src_payload.IN1
sink21_channel[7] => src_payload.IN1
sink21_channel[8] => src_payload.IN1
sink21_channel[9] => src_payload.IN1
sink21_channel[10] => src_payload.IN1
sink21_channel[11] => src_payload.IN1
sink21_channel[12] => src_payload.IN1
sink21_channel[13] => src_payload.IN1
sink21_channel[14] => src_payload.IN1
sink21_channel[15] => src_payload.IN1
sink21_channel[16] => src_payload.IN1
sink21_channel[17] => src_payload.IN1
sink21_channel[18] => src_payload.IN1
sink21_channel[19] => src_payload.IN1
sink21_channel[20] => src_payload.IN1
sink21_channel[21] => src_payload.IN1
sink21_startofpacket => src_payload.IN1
sink21_endofpacket => src_payload.IN1
sink21_ready <= sink21_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
src_ready => sink2_ready.IN1
src_ready => sink3_ready.IN1
src_ready => sink4_ready.IN1
src_ready => sink5_ready.IN1
src_ready => sink6_ready.IN1
src_ready => sink7_ready.IN1
src_ready => sink8_ready.IN1
src_ready => sink9_ready.IN1
src_ready => sink10_ready.IN1
src_ready => sink11_ready.IN1
src_ready => sink12_ready.IN1
src_ready => sink13_ready.IN1
src_ready => sink14_ready.IN1
src_ready => sink15_ready.IN1
src_ready => sink16_ready.IN1
src_ready => sink17_ready.IN1
src_ready => sink18_ready.IN1
src_ready => sink19_ready.IN1
src_ready => sink20_ready.IN1
src_ready => sink21_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
request[0] => grant[0].DATAIN
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant[1].DATAIN
request[1] => _.IN1
request[1] => _.IN1
request[2] => grant[2].DATAIN
request[2] => _.IN1
request[2] => _.IN1
request[3] => grant[3].DATAIN
request[3] => _.IN1
request[3] => _.IN1
request[4] => grant[4].DATAIN
request[4] => _.IN1
request[4] => _.IN1
request[5] => grant[5].DATAIN
request[5] => _.IN1
request[5] => _.IN1
request[6] => grant[6].DATAIN
request[6] => _.IN1
request[6] => _.IN1
request[7] => grant[7].DATAIN
request[7] => _.IN1
request[7] => _.IN1
request[8] => grant[8].DATAIN
request[8] => _.IN1
request[8] => _.IN1
request[9] => grant[9].DATAIN
request[9] => _.IN1
request[9] => _.IN1
request[10] => grant[10].DATAIN
request[10] => _.IN1
request[10] => _.IN1
request[11] => grant[11].DATAIN
request[11] => _.IN1
request[11] => _.IN1
request[12] => grant[12].DATAIN
request[12] => _.IN1
request[12] => _.IN1
request[13] => grant[13].DATAIN
request[13] => _.IN1
request[13] => _.IN1
request[14] => grant[14].DATAIN
request[14] => _.IN1
request[14] => _.IN1
request[15] => grant[15].DATAIN
request[15] => _.IN1
request[15] => _.IN1
request[16] => grant[16].DATAIN
request[16] => _.IN1
request[16] => _.IN1
request[17] => grant[17].DATAIN
request[17] => _.IN1
request[17] => _.IN1
request[18] => grant[18].DATAIN
request[18] => _.IN1
request[18] => _.IN1
request[19] => grant[19].DATAIN
request[19] => _.IN1
request[19] => _.IN1
request[20] => grant[20].DATAIN
request[20] => _.IN1
request[20] => _.IN1
request[21] => grant[21].DATAIN
request[21] => _.IN1
request[21] => _.IN1
grant[0] <= request[0].DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= request[1].DB_MAX_OUTPUT_PORT_TYPE
grant[2] <= request[2].DB_MAX_OUTPUT_PORT_TYPE
grant[3] <= request[3].DB_MAX_OUTPUT_PORT_TYPE
grant[4] <= request[4].DB_MAX_OUTPUT_PORT_TYPE
grant[5] <= request[5].DB_MAX_OUTPUT_PORT_TYPE
grant[6] <= request[6].DB_MAX_OUTPUT_PORT_TYPE
grant[7] <= request[7].DB_MAX_OUTPUT_PORT_TYPE
grant[8] <= request[8].DB_MAX_OUTPUT_PORT_TYPE
grant[9] <= request[9].DB_MAX_OUTPUT_PORT_TYPE
grant[10] <= request[10].DB_MAX_OUTPUT_PORT_TYPE
grant[11] <= request[11].DB_MAX_OUTPUT_PORT_TYPE
grant[12] <= request[12].DB_MAX_OUTPUT_PORT_TYPE
grant[13] <= request[13].DB_MAX_OUTPUT_PORT_TYPE
grant[14] <= request[14].DB_MAX_OUTPUT_PORT_TYPE
grant[15] <= request[15].DB_MAX_OUTPUT_PORT_TYPE
grant[16] <= request[16].DB_MAX_OUTPUT_PORT_TYPE
grant[17] <= request[17].DB_MAX_OUTPUT_PORT_TYPE
grant[18] <= request[18].DB_MAX_OUTPUT_PORT_TYPE
grant[19] <= request[19].DB_MAX_OUTPUT_PORT_TYPE
grant[20] <= request[20].DB_MAX_OUTPUT_PORT_TYPE
grant[21] <= request[21].DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => ~NO_FANOUT~
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => Add0.IN44
a[1] => Add0.IN43
a[2] => Add0.IN42
a[3] => Add0.IN41
a[4] => Add0.IN40
a[5] => Add0.IN39
a[6] => Add0.IN38
a[7] => Add0.IN37
a[8] => Add0.IN36
a[9] => Add0.IN35
a[10] => Add0.IN34
a[11] => Add0.IN33
a[12] => Add0.IN32
a[13] => Add0.IN31
a[14] => Add0.IN30
a[15] => Add0.IN29
a[16] => Add0.IN28
a[17] => Add0.IN27
a[18] => Add0.IN26
a[19] => Add0.IN25
a[20] => Add0.IN24
a[21] => Add0.IN23
a[22] => Add0.IN22
a[23] => Add0.IN21
a[24] => Add0.IN20
a[25] => Add0.IN19
a[26] => Add0.IN18
a[27] => Add0.IN17
a[28] => Add0.IN16
a[29] => Add0.IN15
a[30] => Add0.IN14
a[31] => Add0.IN13
a[32] => Add0.IN12
a[33] => Add0.IN11
a[34] => Add0.IN10
a[35] => Add0.IN9
a[36] => Add0.IN8
a[37] => Add0.IN7
a[38] => Add0.IN6
a[39] => Add0.IN5
a[40] => Add0.IN4
a[41] => Add0.IN3
a[42] => Add0.IN2
a[43] => Add0.IN1
b[0] => Add0.IN88
b[1] => Add0.IN87
b[2] => Add0.IN86
b[3] => Add0.IN85
b[4] => Add0.IN84
b[5] => Add0.IN83
b[6] => Add0.IN82
b[7] => Add0.IN81
b[8] => Add0.IN80
b[9] => Add0.IN79
b[10] => Add0.IN78
b[11] => Add0.IN77
b[12] => Add0.IN76
b[13] => Add0.IN75
b[14] => Add0.IN74
b[15] => Add0.IN73
b[16] => Add0.IN72
b[17] => Add0.IN71
b[18] => Add0.IN70
b[19] => Add0.IN69
b[20] => Add0.IN68
b[21] => Add0.IN67
b[22] => Add0.IN66
b[23] => Add0.IN65
b[24] => Add0.IN64
b[25] => Add0.IN63
b[26] => Add0.IN62
b[27] => Add0.IN61
b[28] => Add0.IN60
b[29] => Add0.IN59
b[30] => Add0.IN58
b[31] => Add0.IN57
b[32] => Add0.IN56
b[33] => Add0.IN55
b[34] => Add0.IN54
b[35] => Add0.IN53
b[36] => Add0.IN52
b[37] => Add0.IN51
b[38] => Add0.IN50
b[39] => Add0.IN49
b[40] => Add0.IN48
b[41] => Add0.IN47
b[42] => Add0.IN46
b[43] => Add0.IN45
sum[0] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[8] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[9] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[10] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[11] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[12] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[13] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[14] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[15] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[16] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[17] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[18] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[19] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[20] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[21] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[22] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[23] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[24] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[25] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[26] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[27] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[28] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[29] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[30] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[31] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[32] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[33] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[34] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[35] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[36] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[37] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[38] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[39] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[40] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[41] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[42] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[43] <= Add0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux_001
sink0_valid => request[0].IN1
sink0_data[0] => src_payload.IN1
sink0_data[1] => src_payload.IN1
sink0_data[2] => src_payload.IN1
sink0_data[3] => src_payload.IN1
sink0_data[4] => src_payload.IN1
sink0_data[5] => src_payload.IN1
sink0_data[6] => src_payload.IN1
sink0_data[7] => src_payload.IN1
sink0_data[8] => src_payload.IN1
sink0_data[9] => src_payload.IN1
sink0_data[10] => src_payload.IN1
sink0_data[11] => src_payload.IN1
sink0_data[12] => src_payload.IN1
sink0_data[13] => src_payload.IN1
sink0_data[14] => src_payload.IN1
sink0_data[15] => src_payload.IN1
sink0_data[16] => src_payload.IN1
sink0_data[17] => src_payload.IN1
sink0_data[18] => src_payload.IN1
sink0_data[19] => src_payload.IN1
sink0_data[20] => src_payload.IN1
sink0_data[21] => src_payload.IN1
sink0_data[22] => src_payload.IN1
sink0_data[23] => src_payload.IN1
sink0_data[24] => src_payload.IN1
sink0_data[25] => src_payload.IN1
sink0_data[26] => src_payload.IN1
sink0_data[27] => src_payload.IN1
sink0_data[28] => src_payload.IN1
sink0_data[29] => src_payload.IN1
sink0_data[30] => src_payload.IN1
sink0_data[31] => src_payload.IN1
sink0_data[32] => src_payload.IN1
sink0_data[33] => src_payload.IN1
sink0_data[34] => src_payload.IN1
sink0_data[35] => src_payload.IN1
sink0_data[36] => src_payload.IN1
sink0_data[37] => src_payload.IN1
sink0_data[38] => src_payload.IN1
sink0_data[39] => src_payload.IN1
sink0_data[40] => src_payload.IN1
sink0_data[41] => src_payload.IN1
sink0_data[42] => src_payload.IN1
sink0_data[43] => src_payload.IN1
sink0_data[44] => src_payload.IN1
sink0_data[45] => src_payload.IN1
sink0_data[46] => src_payload.IN1
sink0_data[47] => src_payload.IN1
sink0_data[48] => src_payload.IN1
sink0_data[49] => src_payload.IN1
sink0_data[50] => src_payload.IN1
sink0_data[51] => src_payload.IN1
sink0_data[52] => src_payload.IN1
sink0_data[53] => src_payload.IN1
sink0_data[54] => src_payload.IN1
sink0_data[55] => src_payload.IN1
sink0_data[56] => src_payload.IN1
sink0_data[57] => src_payload.IN1
sink0_data[58] => src_payload.IN1
sink0_data[59] => src_payload.IN1
sink0_data[60] => src_payload.IN1
sink0_data[61] => src_payload.IN1
sink0_data[62] => src_payload.IN1
sink0_data[63] => src_payload.IN1
sink0_data[64] => src_payload.IN1
sink0_data[65] => src_payload.IN1
sink0_data[66] => src_payload.IN1
sink0_data[67] => src_payload.IN1
sink0_data[68] => src_payload.IN1
sink0_data[69] => src_payload.IN1
sink0_data[70] => src_payload.IN1
sink0_data[70] => last_cycle.IN1
sink0_data[71] => src_payload.IN1
sink0_data[72] => src_payload.IN1
sink0_data[73] => src_payload.IN1
sink0_data[74] => src_payload.IN1
sink0_data[75] => src_payload.IN1
sink0_data[76] => src_payload.IN1
sink0_data[77] => src_payload.IN1
sink0_data[78] => src_payload.IN1
sink0_data[79] => src_payload.IN1
sink0_data[80] => src_payload.IN1
sink0_data[81] => src_payload.IN1
sink0_data[82] => src_payload.IN1
sink0_data[83] => src_payload.IN1
sink0_data[84] => src_payload.IN1
sink0_data[85] => src_payload.IN1
sink0_data[86] => src_payload.IN1
sink0_data[87] => src_payload.IN1
sink0_data[88] => src_payload.IN1
sink0_data[89] => src_payload.IN1
sink0_data[90] => src_payload.IN1
sink0_data[91] => src_payload.IN1
sink0_data[92] => src_payload.IN1
sink0_data[93] => src_payload.IN1
sink0_data[94] => src_payload.IN1
sink0_data[95] => src_payload.IN1
sink0_data[96] => src_payload.IN1
sink0_data[97] => src_payload.IN1
sink0_data[98] => src_payload.IN1
sink0_data[99] => src_payload.IN1
sink0_data[100] => src_payload.IN1
sink0_data[101] => src_payload.IN1
sink0_data[102] => src_payload.IN1
sink0_data[103] => src_payload.IN1
sink0_data[104] => src_payload.IN1
sink0_data[105] => src_payload.IN1
sink0_data[106] => src_payload.IN1
sink0_data[107] => src_payload.IN1
sink0_data[108] => src_payload.IN1
sink0_data[109] => src_payload.IN1
sink0_data[110] => src_payload.IN1
sink0_data[111] => src_payload.IN1
sink0_data[112] => src_payload.IN1
sink0_data[113] => src_payload.IN1
sink0_data[114] => src_payload.IN1
sink0_data[115] => src_payload.IN1
sink0_data[116] => src_payload.IN1
sink0_data[117] => src_payload.IN1
sink0_data[118] => src_payload.IN1
sink0_data[119] => src_payload.IN1
sink0_data[120] => src_payload.IN1
sink0_data[121] => src_payload.IN1
sink0_data[122] => src_payload.IN1
sink0_data[123] => src_payload.IN1
sink0_data[124] => src_payload.IN1
sink0_data[125] => src_payload.IN1
sink0_data[126] => src_payload.IN1
sink0_data[127] => src_payload.IN1
sink0_data[128] => src_payload.IN1
sink0_channel[0] => src_payload.IN1
sink0_channel[1] => src_payload.IN1
sink0_channel[2] => src_payload.IN1
sink0_channel[3] => src_payload.IN1
sink0_channel[4] => src_payload.IN1
sink0_channel[5] => src_payload.IN1
sink0_channel[6] => src_payload.IN1
sink0_channel[7] => src_payload.IN1
sink0_channel[8] => src_payload.IN1
sink0_channel[9] => src_payload.IN1
sink0_channel[10] => src_payload.IN1
sink0_channel[11] => src_payload.IN1
sink0_channel[12] => src_payload.IN1
sink0_channel[13] => src_payload.IN1
sink0_channel[14] => src_payload.IN1
sink0_channel[15] => src_payload.IN1
sink0_channel[16] => src_payload.IN1
sink0_channel[17] => src_payload.IN1
sink0_channel[18] => src_payload.IN1
sink0_channel[19] => src_payload.IN1
sink0_channel[20] => src_payload.IN1
sink0_channel[21] => src_payload.IN1
sink0_startofpacket => src_payload.IN1
sink0_endofpacket => src_payload.IN1
sink0_ready <= sink0_ready.DB_MAX_OUTPUT_PORT_TYPE
sink1_valid => request[1].IN1
sink1_data[0] => src_payload.IN1
sink1_data[1] => src_payload.IN1
sink1_data[2] => src_payload.IN1
sink1_data[3] => src_payload.IN1
sink1_data[4] => src_payload.IN1
sink1_data[5] => src_payload.IN1
sink1_data[6] => src_payload.IN1
sink1_data[7] => src_payload.IN1
sink1_data[8] => src_payload.IN1
sink1_data[9] => src_payload.IN1
sink1_data[10] => src_payload.IN1
sink1_data[11] => src_payload.IN1
sink1_data[12] => src_payload.IN1
sink1_data[13] => src_payload.IN1
sink1_data[14] => src_payload.IN1
sink1_data[15] => src_payload.IN1
sink1_data[16] => src_payload.IN1
sink1_data[17] => src_payload.IN1
sink1_data[18] => src_payload.IN1
sink1_data[19] => src_payload.IN1
sink1_data[20] => src_payload.IN1
sink1_data[21] => src_payload.IN1
sink1_data[22] => src_payload.IN1
sink1_data[23] => src_payload.IN1
sink1_data[24] => src_payload.IN1
sink1_data[25] => src_payload.IN1
sink1_data[26] => src_payload.IN1
sink1_data[27] => src_payload.IN1
sink1_data[28] => src_payload.IN1
sink1_data[29] => src_payload.IN1
sink1_data[30] => src_payload.IN1
sink1_data[31] => src_payload.IN1
sink1_data[32] => src_payload.IN1
sink1_data[33] => src_payload.IN1
sink1_data[34] => src_payload.IN1
sink1_data[35] => src_payload.IN1
sink1_data[36] => src_payload.IN1
sink1_data[37] => src_payload.IN1
sink1_data[38] => src_payload.IN1
sink1_data[39] => src_payload.IN1
sink1_data[40] => src_payload.IN1
sink1_data[41] => src_payload.IN1
sink1_data[42] => src_payload.IN1
sink1_data[43] => src_payload.IN1
sink1_data[44] => src_payload.IN1
sink1_data[45] => src_payload.IN1
sink1_data[46] => src_payload.IN1
sink1_data[47] => src_payload.IN1
sink1_data[48] => src_payload.IN1
sink1_data[49] => src_payload.IN1
sink1_data[50] => src_payload.IN1
sink1_data[51] => src_payload.IN1
sink1_data[52] => src_payload.IN1
sink1_data[53] => src_payload.IN1
sink1_data[54] => src_payload.IN1
sink1_data[55] => src_payload.IN1
sink1_data[56] => src_payload.IN1
sink1_data[57] => src_payload.IN1
sink1_data[58] => src_payload.IN1
sink1_data[59] => src_payload.IN1
sink1_data[60] => src_payload.IN1
sink1_data[61] => src_payload.IN1
sink1_data[62] => src_payload.IN1
sink1_data[63] => src_payload.IN1
sink1_data[64] => src_payload.IN1
sink1_data[65] => src_payload.IN1
sink1_data[66] => src_payload.IN1
sink1_data[67] => src_payload.IN1
sink1_data[68] => src_payload.IN1
sink1_data[69] => src_payload.IN1
sink1_data[70] => src_payload.IN1
sink1_data[70] => last_cycle.IN1
sink1_data[71] => src_payload.IN1
sink1_data[72] => src_payload.IN1
sink1_data[73] => src_payload.IN1
sink1_data[74] => src_payload.IN1
sink1_data[75] => src_payload.IN1
sink1_data[76] => src_payload.IN1
sink1_data[77] => src_payload.IN1
sink1_data[78] => src_payload.IN1
sink1_data[79] => src_payload.IN1
sink1_data[80] => src_payload.IN1
sink1_data[81] => src_payload.IN1
sink1_data[82] => src_payload.IN1
sink1_data[83] => src_payload.IN1
sink1_data[84] => src_payload.IN1
sink1_data[85] => src_payload.IN1
sink1_data[86] => src_payload.IN1
sink1_data[87] => src_payload.IN1
sink1_data[88] => src_payload.IN1
sink1_data[89] => src_payload.IN1
sink1_data[90] => src_payload.IN1
sink1_data[91] => src_payload.IN1
sink1_data[92] => src_payload.IN1
sink1_data[93] => src_payload.IN1
sink1_data[94] => src_payload.IN1
sink1_data[95] => src_payload.IN1
sink1_data[96] => src_payload.IN1
sink1_data[97] => src_payload.IN1
sink1_data[98] => src_payload.IN1
sink1_data[99] => src_payload.IN1
sink1_data[100] => src_payload.IN1
sink1_data[101] => src_payload.IN1
sink1_data[102] => src_payload.IN1
sink1_data[103] => src_payload.IN1
sink1_data[104] => src_payload.IN1
sink1_data[105] => src_payload.IN1
sink1_data[106] => src_payload.IN1
sink1_data[107] => src_payload.IN1
sink1_data[108] => src_payload.IN1
sink1_data[109] => src_payload.IN1
sink1_data[110] => src_payload.IN1
sink1_data[111] => src_payload.IN1
sink1_data[112] => src_payload.IN1
sink1_data[113] => src_payload.IN1
sink1_data[114] => src_payload.IN1
sink1_data[115] => src_payload.IN1
sink1_data[116] => src_payload.IN1
sink1_data[117] => src_payload.IN1
sink1_data[118] => src_payload.IN1
sink1_data[119] => src_payload.IN1
sink1_data[120] => src_payload.IN1
sink1_data[121] => src_payload.IN1
sink1_data[122] => src_payload.IN1
sink1_data[123] => src_payload.IN1
sink1_data[124] => src_payload.IN1
sink1_data[125] => src_payload.IN1
sink1_data[126] => src_payload.IN1
sink1_data[127] => src_payload.IN1
sink1_data[128] => src_payload.IN1
sink1_channel[0] => src_payload.IN1
sink1_channel[1] => src_payload.IN1
sink1_channel[2] => src_payload.IN1
sink1_channel[3] => src_payload.IN1
sink1_channel[4] => src_payload.IN1
sink1_channel[5] => src_payload.IN1
sink1_channel[6] => src_payload.IN1
sink1_channel[7] => src_payload.IN1
sink1_channel[8] => src_payload.IN1
sink1_channel[9] => src_payload.IN1
sink1_channel[10] => src_payload.IN1
sink1_channel[11] => src_payload.IN1
sink1_channel[12] => src_payload.IN1
sink1_channel[13] => src_payload.IN1
sink1_channel[14] => src_payload.IN1
sink1_channel[15] => src_payload.IN1
sink1_channel[16] => src_payload.IN1
sink1_channel[17] => src_payload.IN1
sink1_channel[18] => src_payload.IN1
sink1_channel[19] => src_payload.IN1
sink1_channel[20] => src_payload.IN1
sink1_channel[21] => src_payload.IN1
sink1_startofpacket => src_payload.IN1
sink1_endofpacket => src_payload.IN1
sink1_ready <= sink1_ready.DB_MAX_OUTPUT_PORT_TYPE
sink2_valid => request[2].IN1
sink2_data[0] => src_payload.IN1
sink2_data[1] => src_payload.IN1
sink2_data[2] => src_payload.IN1
sink2_data[3] => src_payload.IN1
sink2_data[4] => src_payload.IN1
sink2_data[5] => src_payload.IN1
sink2_data[6] => src_payload.IN1
sink2_data[7] => src_payload.IN1
sink2_data[8] => src_payload.IN1
sink2_data[9] => src_payload.IN1
sink2_data[10] => src_payload.IN1
sink2_data[11] => src_payload.IN1
sink2_data[12] => src_payload.IN1
sink2_data[13] => src_payload.IN1
sink2_data[14] => src_payload.IN1
sink2_data[15] => src_payload.IN1
sink2_data[16] => src_payload.IN1
sink2_data[17] => src_payload.IN1
sink2_data[18] => src_payload.IN1
sink2_data[19] => src_payload.IN1
sink2_data[20] => src_payload.IN1
sink2_data[21] => src_payload.IN1
sink2_data[22] => src_payload.IN1
sink2_data[23] => src_payload.IN1
sink2_data[24] => src_payload.IN1
sink2_data[25] => src_payload.IN1
sink2_data[26] => src_payload.IN1
sink2_data[27] => src_payload.IN1
sink2_data[28] => src_payload.IN1
sink2_data[29] => src_payload.IN1
sink2_data[30] => src_payload.IN1
sink2_data[31] => src_payload.IN1
sink2_data[32] => src_payload.IN1
sink2_data[33] => src_payload.IN1
sink2_data[34] => src_payload.IN1
sink2_data[35] => src_payload.IN1
sink2_data[36] => src_payload.IN1
sink2_data[37] => src_payload.IN1
sink2_data[38] => src_payload.IN1
sink2_data[39] => src_payload.IN1
sink2_data[40] => src_payload.IN1
sink2_data[41] => src_payload.IN1
sink2_data[42] => src_payload.IN1
sink2_data[43] => src_payload.IN1
sink2_data[44] => src_payload.IN1
sink2_data[45] => src_payload.IN1
sink2_data[46] => src_payload.IN1
sink2_data[47] => src_payload.IN1
sink2_data[48] => src_payload.IN1
sink2_data[49] => src_payload.IN1
sink2_data[50] => src_payload.IN1
sink2_data[51] => src_payload.IN1
sink2_data[52] => src_payload.IN1
sink2_data[53] => src_payload.IN1
sink2_data[54] => src_payload.IN1
sink2_data[55] => src_payload.IN1
sink2_data[56] => src_payload.IN1
sink2_data[57] => src_payload.IN1
sink2_data[58] => src_payload.IN1
sink2_data[59] => src_payload.IN1
sink2_data[60] => src_payload.IN1
sink2_data[61] => src_payload.IN1
sink2_data[62] => src_payload.IN1
sink2_data[63] => src_payload.IN1
sink2_data[64] => src_payload.IN1
sink2_data[65] => src_payload.IN1
sink2_data[66] => src_payload.IN1
sink2_data[67] => src_payload.IN1
sink2_data[68] => src_payload.IN1
sink2_data[69] => src_payload.IN1
sink2_data[70] => src_payload.IN1
sink2_data[70] => last_cycle.IN1
sink2_data[71] => src_payload.IN1
sink2_data[72] => src_payload.IN1
sink2_data[73] => src_payload.IN1
sink2_data[74] => src_payload.IN1
sink2_data[75] => src_payload.IN1
sink2_data[76] => src_payload.IN1
sink2_data[77] => src_payload.IN1
sink2_data[78] => src_payload.IN1
sink2_data[79] => src_payload.IN1
sink2_data[80] => src_payload.IN1
sink2_data[81] => src_payload.IN1
sink2_data[82] => src_payload.IN1
sink2_data[83] => src_payload.IN1
sink2_data[84] => src_payload.IN1
sink2_data[85] => src_payload.IN1
sink2_data[86] => src_payload.IN1
sink2_data[87] => src_payload.IN1
sink2_data[88] => src_payload.IN1
sink2_data[89] => src_payload.IN1
sink2_data[90] => src_payload.IN1
sink2_data[91] => src_payload.IN1
sink2_data[92] => src_payload.IN1
sink2_data[93] => src_payload.IN1
sink2_data[94] => src_payload.IN1
sink2_data[95] => src_payload.IN1
sink2_data[96] => src_payload.IN1
sink2_data[97] => src_payload.IN1
sink2_data[98] => src_payload.IN1
sink2_data[99] => src_payload.IN1
sink2_data[100] => src_payload.IN1
sink2_data[101] => src_payload.IN1
sink2_data[102] => src_payload.IN1
sink2_data[103] => src_payload.IN1
sink2_data[104] => src_payload.IN1
sink2_data[105] => src_payload.IN1
sink2_data[106] => src_payload.IN1
sink2_data[107] => src_payload.IN1
sink2_data[108] => src_payload.IN1
sink2_data[109] => src_payload.IN1
sink2_data[110] => src_payload.IN1
sink2_data[111] => src_payload.IN1
sink2_data[112] => src_payload.IN1
sink2_data[113] => src_payload.IN1
sink2_data[114] => src_payload.IN1
sink2_data[115] => src_payload.IN1
sink2_data[116] => src_payload.IN1
sink2_data[117] => src_payload.IN1
sink2_data[118] => src_payload.IN1
sink2_data[119] => src_payload.IN1
sink2_data[120] => src_payload.IN1
sink2_data[121] => src_payload.IN1
sink2_data[122] => src_payload.IN1
sink2_data[123] => src_payload.IN1
sink2_data[124] => src_payload.IN1
sink2_data[125] => src_payload.IN1
sink2_data[126] => src_payload.IN1
sink2_data[127] => src_payload.IN1
sink2_data[128] => src_payload.IN1
sink2_channel[0] => src_payload.IN1
sink2_channel[1] => src_payload.IN1
sink2_channel[2] => src_payload.IN1
sink2_channel[3] => src_payload.IN1
sink2_channel[4] => src_payload.IN1
sink2_channel[5] => src_payload.IN1
sink2_channel[6] => src_payload.IN1
sink2_channel[7] => src_payload.IN1
sink2_channel[8] => src_payload.IN1
sink2_channel[9] => src_payload.IN1
sink2_channel[10] => src_payload.IN1
sink2_channel[11] => src_payload.IN1
sink2_channel[12] => src_payload.IN1
sink2_channel[13] => src_payload.IN1
sink2_channel[14] => src_payload.IN1
sink2_channel[15] => src_payload.IN1
sink2_channel[16] => src_payload.IN1
sink2_channel[17] => src_payload.IN1
sink2_channel[18] => src_payload.IN1
sink2_channel[19] => src_payload.IN1
sink2_channel[20] => src_payload.IN1
sink2_channel[21] => src_payload.IN1
sink2_startofpacket => src_payload.IN1
sink2_endofpacket => src_payload.IN1
sink2_ready <= sink2_ready.DB_MAX_OUTPUT_PORT_TYPE
sink3_valid => request[3].IN1
sink3_data[0] => src_payload.IN1
sink3_data[1] => src_payload.IN1
sink3_data[2] => src_payload.IN1
sink3_data[3] => src_payload.IN1
sink3_data[4] => src_payload.IN1
sink3_data[5] => src_payload.IN1
sink3_data[6] => src_payload.IN1
sink3_data[7] => src_payload.IN1
sink3_data[8] => src_payload.IN1
sink3_data[9] => src_payload.IN1
sink3_data[10] => src_payload.IN1
sink3_data[11] => src_payload.IN1
sink3_data[12] => src_payload.IN1
sink3_data[13] => src_payload.IN1
sink3_data[14] => src_payload.IN1
sink3_data[15] => src_payload.IN1
sink3_data[16] => src_payload.IN1
sink3_data[17] => src_payload.IN1
sink3_data[18] => src_payload.IN1
sink3_data[19] => src_payload.IN1
sink3_data[20] => src_payload.IN1
sink3_data[21] => src_payload.IN1
sink3_data[22] => src_payload.IN1
sink3_data[23] => src_payload.IN1
sink3_data[24] => src_payload.IN1
sink3_data[25] => src_payload.IN1
sink3_data[26] => src_payload.IN1
sink3_data[27] => src_payload.IN1
sink3_data[28] => src_payload.IN1
sink3_data[29] => src_payload.IN1
sink3_data[30] => src_payload.IN1
sink3_data[31] => src_payload.IN1
sink3_data[32] => src_payload.IN1
sink3_data[33] => src_payload.IN1
sink3_data[34] => src_payload.IN1
sink3_data[35] => src_payload.IN1
sink3_data[36] => src_payload.IN1
sink3_data[37] => src_payload.IN1
sink3_data[38] => src_payload.IN1
sink3_data[39] => src_payload.IN1
sink3_data[40] => src_payload.IN1
sink3_data[41] => src_payload.IN1
sink3_data[42] => src_payload.IN1
sink3_data[43] => src_payload.IN1
sink3_data[44] => src_payload.IN1
sink3_data[45] => src_payload.IN1
sink3_data[46] => src_payload.IN1
sink3_data[47] => src_payload.IN1
sink3_data[48] => src_payload.IN1
sink3_data[49] => src_payload.IN1
sink3_data[50] => src_payload.IN1
sink3_data[51] => src_payload.IN1
sink3_data[52] => src_payload.IN1
sink3_data[53] => src_payload.IN1
sink3_data[54] => src_payload.IN1
sink3_data[55] => src_payload.IN1
sink3_data[56] => src_payload.IN1
sink3_data[57] => src_payload.IN1
sink3_data[58] => src_payload.IN1
sink3_data[59] => src_payload.IN1
sink3_data[60] => src_payload.IN1
sink3_data[61] => src_payload.IN1
sink3_data[62] => src_payload.IN1
sink3_data[63] => src_payload.IN1
sink3_data[64] => src_payload.IN1
sink3_data[65] => src_payload.IN1
sink3_data[66] => src_payload.IN1
sink3_data[67] => src_payload.IN1
sink3_data[68] => src_payload.IN1
sink3_data[69] => src_payload.IN1
sink3_data[70] => src_payload.IN1
sink3_data[70] => last_cycle.IN1
sink3_data[71] => src_payload.IN1
sink3_data[72] => src_payload.IN1
sink3_data[73] => src_payload.IN1
sink3_data[74] => src_payload.IN1
sink3_data[75] => src_payload.IN1
sink3_data[76] => src_payload.IN1
sink3_data[77] => src_payload.IN1
sink3_data[78] => src_payload.IN1
sink3_data[79] => src_payload.IN1
sink3_data[80] => src_payload.IN1
sink3_data[81] => src_payload.IN1
sink3_data[82] => src_payload.IN1
sink3_data[83] => src_payload.IN1
sink3_data[84] => src_payload.IN1
sink3_data[85] => src_payload.IN1
sink3_data[86] => src_payload.IN1
sink3_data[87] => src_payload.IN1
sink3_data[88] => src_payload.IN1
sink3_data[89] => src_payload.IN1
sink3_data[90] => src_payload.IN1
sink3_data[91] => src_payload.IN1
sink3_data[92] => src_payload.IN1
sink3_data[93] => src_payload.IN1
sink3_data[94] => src_payload.IN1
sink3_data[95] => src_payload.IN1
sink3_data[96] => src_payload.IN1
sink3_data[97] => src_payload.IN1
sink3_data[98] => src_payload.IN1
sink3_data[99] => src_payload.IN1
sink3_data[100] => src_payload.IN1
sink3_data[101] => src_payload.IN1
sink3_data[102] => src_payload.IN1
sink3_data[103] => src_payload.IN1
sink3_data[104] => src_payload.IN1
sink3_data[105] => src_payload.IN1
sink3_data[106] => src_payload.IN1
sink3_data[107] => src_payload.IN1
sink3_data[108] => src_payload.IN1
sink3_data[109] => src_payload.IN1
sink3_data[110] => src_payload.IN1
sink3_data[111] => src_payload.IN1
sink3_data[112] => src_payload.IN1
sink3_data[113] => src_payload.IN1
sink3_data[114] => src_payload.IN1
sink3_data[115] => src_payload.IN1
sink3_data[116] => src_payload.IN1
sink3_data[117] => src_payload.IN1
sink3_data[118] => src_payload.IN1
sink3_data[119] => src_payload.IN1
sink3_data[120] => src_payload.IN1
sink3_data[121] => src_payload.IN1
sink3_data[122] => src_payload.IN1
sink3_data[123] => src_payload.IN1
sink3_data[124] => src_payload.IN1
sink3_data[125] => src_payload.IN1
sink3_data[126] => src_payload.IN1
sink3_data[127] => src_payload.IN1
sink3_data[128] => src_payload.IN1
sink3_channel[0] => src_payload.IN1
sink3_channel[1] => src_payload.IN1
sink3_channel[2] => src_payload.IN1
sink3_channel[3] => src_payload.IN1
sink3_channel[4] => src_payload.IN1
sink3_channel[5] => src_payload.IN1
sink3_channel[6] => src_payload.IN1
sink3_channel[7] => src_payload.IN1
sink3_channel[8] => src_payload.IN1
sink3_channel[9] => src_payload.IN1
sink3_channel[10] => src_payload.IN1
sink3_channel[11] => src_payload.IN1
sink3_channel[12] => src_payload.IN1
sink3_channel[13] => src_payload.IN1
sink3_channel[14] => src_payload.IN1
sink3_channel[15] => src_payload.IN1
sink3_channel[16] => src_payload.IN1
sink3_channel[17] => src_payload.IN1
sink3_channel[18] => src_payload.IN1
sink3_channel[19] => src_payload.IN1
sink3_channel[20] => src_payload.IN1
sink3_channel[21] => src_payload.IN1
sink3_startofpacket => src_payload.IN1
sink3_endofpacket => src_payload.IN1
sink3_ready <= sink3_ready.DB_MAX_OUTPUT_PORT_TYPE
sink4_valid => request[4].IN1
sink4_data[0] => src_payload.IN1
sink4_data[1] => src_payload.IN1
sink4_data[2] => src_payload.IN1
sink4_data[3] => src_payload.IN1
sink4_data[4] => src_payload.IN1
sink4_data[5] => src_payload.IN1
sink4_data[6] => src_payload.IN1
sink4_data[7] => src_payload.IN1
sink4_data[8] => src_payload.IN1
sink4_data[9] => src_payload.IN1
sink4_data[10] => src_payload.IN1
sink4_data[11] => src_payload.IN1
sink4_data[12] => src_payload.IN1
sink4_data[13] => src_payload.IN1
sink4_data[14] => src_payload.IN1
sink4_data[15] => src_payload.IN1
sink4_data[16] => src_payload.IN1
sink4_data[17] => src_payload.IN1
sink4_data[18] => src_payload.IN1
sink4_data[19] => src_payload.IN1
sink4_data[20] => src_payload.IN1
sink4_data[21] => src_payload.IN1
sink4_data[22] => src_payload.IN1
sink4_data[23] => src_payload.IN1
sink4_data[24] => src_payload.IN1
sink4_data[25] => src_payload.IN1
sink4_data[26] => src_payload.IN1
sink4_data[27] => src_payload.IN1
sink4_data[28] => src_payload.IN1
sink4_data[29] => src_payload.IN1
sink4_data[30] => src_payload.IN1
sink4_data[31] => src_payload.IN1
sink4_data[32] => src_payload.IN1
sink4_data[33] => src_payload.IN1
sink4_data[34] => src_payload.IN1
sink4_data[35] => src_payload.IN1
sink4_data[36] => src_payload.IN1
sink4_data[37] => src_payload.IN1
sink4_data[38] => src_payload.IN1
sink4_data[39] => src_payload.IN1
sink4_data[40] => src_payload.IN1
sink4_data[41] => src_payload.IN1
sink4_data[42] => src_payload.IN1
sink4_data[43] => src_payload.IN1
sink4_data[44] => src_payload.IN1
sink4_data[45] => src_payload.IN1
sink4_data[46] => src_payload.IN1
sink4_data[47] => src_payload.IN1
sink4_data[48] => src_payload.IN1
sink4_data[49] => src_payload.IN1
sink4_data[50] => src_payload.IN1
sink4_data[51] => src_payload.IN1
sink4_data[52] => src_payload.IN1
sink4_data[53] => src_payload.IN1
sink4_data[54] => src_payload.IN1
sink4_data[55] => src_payload.IN1
sink4_data[56] => src_payload.IN1
sink4_data[57] => src_payload.IN1
sink4_data[58] => src_payload.IN1
sink4_data[59] => src_payload.IN1
sink4_data[60] => src_payload.IN1
sink4_data[61] => src_payload.IN1
sink4_data[62] => src_payload.IN1
sink4_data[63] => src_payload.IN1
sink4_data[64] => src_payload.IN1
sink4_data[65] => src_payload.IN1
sink4_data[66] => src_payload.IN1
sink4_data[67] => src_payload.IN1
sink4_data[68] => src_payload.IN1
sink4_data[69] => src_payload.IN1
sink4_data[70] => src_payload.IN1
sink4_data[70] => last_cycle.IN1
sink4_data[71] => src_payload.IN1
sink4_data[72] => src_payload.IN1
sink4_data[73] => src_payload.IN1
sink4_data[74] => src_payload.IN1
sink4_data[75] => src_payload.IN1
sink4_data[76] => src_payload.IN1
sink4_data[77] => src_payload.IN1
sink4_data[78] => src_payload.IN1
sink4_data[79] => src_payload.IN1
sink4_data[80] => src_payload.IN1
sink4_data[81] => src_payload.IN1
sink4_data[82] => src_payload.IN1
sink4_data[83] => src_payload.IN1
sink4_data[84] => src_payload.IN1
sink4_data[85] => src_payload.IN1
sink4_data[86] => src_payload.IN1
sink4_data[87] => src_payload.IN1
sink4_data[88] => src_payload.IN1
sink4_data[89] => src_payload.IN1
sink4_data[90] => src_payload.IN1
sink4_data[91] => src_payload.IN1
sink4_data[92] => src_payload.IN1
sink4_data[93] => src_payload.IN1
sink4_data[94] => src_payload.IN1
sink4_data[95] => src_payload.IN1
sink4_data[96] => src_payload.IN1
sink4_data[97] => src_payload.IN1
sink4_data[98] => src_payload.IN1
sink4_data[99] => src_payload.IN1
sink4_data[100] => src_payload.IN1
sink4_data[101] => src_payload.IN1
sink4_data[102] => src_payload.IN1
sink4_data[103] => src_payload.IN1
sink4_data[104] => src_payload.IN1
sink4_data[105] => src_payload.IN1
sink4_data[106] => src_payload.IN1
sink4_data[107] => src_payload.IN1
sink4_data[108] => src_payload.IN1
sink4_data[109] => src_payload.IN1
sink4_data[110] => src_payload.IN1
sink4_data[111] => src_payload.IN1
sink4_data[112] => src_payload.IN1
sink4_data[113] => src_payload.IN1
sink4_data[114] => src_payload.IN1
sink4_data[115] => src_payload.IN1
sink4_data[116] => src_payload.IN1
sink4_data[117] => src_payload.IN1
sink4_data[118] => src_payload.IN1
sink4_data[119] => src_payload.IN1
sink4_data[120] => src_payload.IN1
sink4_data[121] => src_payload.IN1
sink4_data[122] => src_payload.IN1
sink4_data[123] => src_payload.IN1
sink4_data[124] => src_payload.IN1
sink4_data[125] => src_payload.IN1
sink4_data[126] => src_payload.IN1
sink4_data[127] => src_payload.IN1
sink4_data[128] => src_payload.IN1
sink4_channel[0] => src_payload.IN1
sink4_channel[1] => src_payload.IN1
sink4_channel[2] => src_payload.IN1
sink4_channel[3] => src_payload.IN1
sink4_channel[4] => src_payload.IN1
sink4_channel[5] => src_payload.IN1
sink4_channel[6] => src_payload.IN1
sink4_channel[7] => src_payload.IN1
sink4_channel[8] => src_payload.IN1
sink4_channel[9] => src_payload.IN1
sink4_channel[10] => src_payload.IN1
sink4_channel[11] => src_payload.IN1
sink4_channel[12] => src_payload.IN1
sink4_channel[13] => src_payload.IN1
sink4_channel[14] => src_payload.IN1
sink4_channel[15] => src_payload.IN1
sink4_channel[16] => src_payload.IN1
sink4_channel[17] => src_payload.IN1
sink4_channel[18] => src_payload.IN1
sink4_channel[19] => src_payload.IN1
sink4_channel[20] => src_payload.IN1
sink4_channel[21] => src_payload.IN1
sink4_startofpacket => src_payload.IN1
sink4_endofpacket => src_payload.IN1
sink4_ready <= sink4_ready.DB_MAX_OUTPUT_PORT_TYPE
sink5_valid => request[5].IN1
sink5_data[0] => src_payload.IN1
sink5_data[1] => src_payload.IN1
sink5_data[2] => src_payload.IN1
sink5_data[3] => src_payload.IN1
sink5_data[4] => src_payload.IN1
sink5_data[5] => src_payload.IN1
sink5_data[6] => src_payload.IN1
sink5_data[7] => src_payload.IN1
sink5_data[8] => src_payload.IN1
sink5_data[9] => src_payload.IN1
sink5_data[10] => src_payload.IN1
sink5_data[11] => src_payload.IN1
sink5_data[12] => src_payload.IN1
sink5_data[13] => src_payload.IN1
sink5_data[14] => src_payload.IN1
sink5_data[15] => src_payload.IN1
sink5_data[16] => src_payload.IN1
sink5_data[17] => src_payload.IN1
sink5_data[18] => src_payload.IN1
sink5_data[19] => src_payload.IN1
sink5_data[20] => src_payload.IN1
sink5_data[21] => src_payload.IN1
sink5_data[22] => src_payload.IN1
sink5_data[23] => src_payload.IN1
sink5_data[24] => src_payload.IN1
sink5_data[25] => src_payload.IN1
sink5_data[26] => src_payload.IN1
sink5_data[27] => src_payload.IN1
sink5_data[28] => src_payload.IN1
sink5_data[29] => src_payload.IN1
sink5_data[30] => src_payload.IN1
sink5_data[31] => src_payload.IN1
sink5_data[32] => src_payload.IN1
sink5_data[33] => src_payload.IN1
sink5_data[34] => src_payload.IN1
sink5_data[35] => src_payload.IN1
sink5_data[36] => src_payload.IN1
sink5_data[37] => src_payload.IN1
sink5_data[38] => src_payload.IN1
sink5_data[39] => src_payload.IN1
sink5_data[40] => src_payload.IN1
sink5_data[41] => src_payload.IN1
sink5_data[42] => src_payload.IN1
sink5_data[43] => src_payload.IN1
sink5_data[44] => src_payload.IN1
sink5_data[45] => src_payload.IN1
sink5_data[46] => src_payload.IN1
sink5_data[47] => src_payload.IN1
sink5_data[48] => src_payload.IN1
sink5_data[49] => src_payload.IN1
sink5_data[50] => src_payload.IN1
sink5_data[51] => src_payload.IN1
sink5_data[52] => src_payload.IN1
sink5_data[53] => src_payload.IN1
sink5_data[54] => src_payload.IN1
sink5_data[55] => src_payload.IN1
sink5_data[56] => src_payload.IN1
sink5_data[57] => src_payload.IN1
sink5_data[58] => src_payload.IN1
sink5_data[59] => src_payload.IN1
sink5_data[60] => src_payload.IN1
sink5_data[61] => src_payload.IN1
sink5_data[62] => src_payload.IN1
sink5_data[63] => src_payload.IN1
sink5_data[64] => src_payload.IN1
sink5_data[65] => src_payload.IN1
sink5_data[66] => src_payload.IN1
sink5_data[67] => src_payload.IN1
sink5_data[68] => src_payload.IN1
sink5_data[69] => src_payload.IN1
sink5_data[70] => src_payload.IN1
sink5_data[70] => last_cycle.IN1
sink5_data[71] => src_payload.IN1
sink5_data[72] => src_payload.IN1
sink5_data[73] => src_payload.IN1
sink5_data[74] => src_payload.IN1
sink5_data[75] => src_payload.IN1
sink5_data[76] => src_payload.IN1
sink5_data[77] => src_payload.IN1
sink5_data[78] => src_payload.IN1
sink5_data[79] => src_payload.IN1
sink5_data[80] => src_payload.IN1
sink5_data[81] => src_payload.IN1
sink5_data[82] => src_payload.IN1
sink5_data[83] => src_payload.IN1
sink5_data[84] => src_payload.IN1
sink5_data[85] => src_payload.IN1
sink5_data[86] => src_payload.IN1
sink5_data[87] => src_payload.IN1
sink5_data[88] => src_payload.IN1
sink5_data[89] => src_payload.IN1
sink5_data[90] => src_payload.IN1
sink5_data[91] => src_payload.IN1
sink5_data[92] => src_payload.IN1
sink5_data[93] => src_payload.IN1
sink5_data[94] => src_payload.IN1
sink5_data[95] => src_payload.IN1
sink5_data[96] => src_payload.IN1
sink5_data[97] => src_payload.IN1
sink5_data[98] => src_payload.IN1
sink5_data[99] => src_payload.IN1
sink5_data[100] => src_payload.IN1
sink5_data[101] => src_payload.IN1
sink5_data[102] => src_payload.IN1
sink5_data[103] => src_payload.IN1
sink5_data[104] => src_payload.IN1
sink5_data[105] => src_payload.IN1
sink5_data[106] => src_payload.IN1
sink5_data[107] => src_payload.IN1
sink5_data[108] => src_payload.IN1
sink5_data[109] => src_payload.IN1
sink5_data[110] => src_payload.IN1
sink5_data[111] => src_payload.IN1
sink5_data[112] => src_payload.IN1
sink5_data[113] => src_payload.IN1
sink5_data[114] => src_payload.IN1
sink5_data[115] => src_payload.IN1
sink5_data[116] => src_payload.IN1
sink5_data[117] => src_payload.IN1
sink5_data[118] => src_payload.IN1
sink5_data[119] => src_payload.IN1
sink5_data[120] => src_payload.IN1
sink5_data[121] => src_payload.IN1
sink5_data[122] => src_payload.IN1
sink5_data[123] => src_payload.IN1
sink5_data[124] => src_payload.IN1
sink5_data[125] => src_payload.IN1
sink5_data[126] => src_payload.IN1
sink5_data[127] => src_payload.IN1
sink5_data[128] => src_payload.IN1
sink5_channel[0] => src_payload.IN1
sink5_channel[1] => src_payload.IN1
sink5_channel[2] => src_payload.IN1
sink5_channel[3] => src_payload.IN1
sink5_channel[4] => src_payload.IN1
sink5_channel[5] => src_payload.IN1
sink5_channel[6] => src_payload.IN1
sink5_channel[7] => src_payload.IN1
sink5_channel[8] => src_payload.IN1
sink5_channel[9] => src_payload.IN1
sink5_channel[10] => src_payload.IN1
sink5_channel[11] => src_payload.IN1
sink5_channel[12] => src_payload.IN1
sink5_channel[13] => src_payload.IN1
sink5_channel[14] => src_payload.IN1
sink5_channel[15] => src_payload.IN1
sink5_channel[16] => src_payload.IN1
sink5_channel[17] => src_payload.IN1
sink5_channel[18] => src_payload.IN1
sink5_channel[19] => src_payload.IN1
sink5_channel[20] => src_payload.IN1
sink5_channel[21] => src_payload.IN1
sink5_startofpacket => src_payload.IN1
sink5_endofpacket => src_payload.IN1
sink5_ready <= sink5_ready.DB_MAX_OUTPUT_PORT_TYPE
sink6_valid => request[6].IN1
sink6_data[0] => src_payload.IN1
sink6_data[1] => src_payload.IN1
sink6_data[2] => src_payload.IN1
sink6_data[3] => src_payload.IN1
sink6_data[4] => src_payload.IN1
sink6_data[5] => src_payload.IN1
sink6_data[6] => src_payload.IN1
sink6_data[7] => src_payload.IN1
sink6_data[8] => src_payload.IN1
sink6_data[9] => src_payload.IN1
sink6_data[10] => src_payload.IN1
sink6_data[11] => src_payload.IN1
sink6_data[12] => src_payload.IN1
sink6_data[13] => src_payload.IN1
sink6_data[14] => src_payload.IN1
sink6_data[15] => src_payload.IN1
sink6_data[16] => src_payload.IN1
sink6_data[17] => src_payload.IN1
sink6_data[18] => src_payload.IN1
sink6_data[19] => src_payload.IN1
sink6_data[20] => src_payload.IN1
sink6_data[21] => src_payload.IN1
sink6_data[22] => src_payload.IN1
sink6_data[23] => src_payload.IN1
sink6_data[24] => src_payload.IN1
sink6_data[25] => src_payload.IN1
sink6_data[26] => src_payload.IN1
sink6_data[27] => src_payload.IN1
sink6_data[28] => src_payload.IN1
sink6_data[29] => src_payload.IN1
sink6_data[30] => src_payload.IN1
sink6_data[31] => src_payload.IN1
sink6_data[32] => src_payload.IN1
sink6_data[33] => src_payload.IN1
sink6_data[34] => src_payload.IN1
sink6_data[35] => src_payload.IN1
sink6_data[36] => src_payload.IN1
sink6_data[37] => src_payload.IN1
sink6_data[38] => src_payload.IN1
sink6_data[39] => src_payload.IN1
sink6_data[40] => src_payload.IN1
sink6_data[41] => src_payload.IN1
sink6_data[42] => src_payload.IN1
sink6_data[43] => src_payload.IN1
sink6_data[44] => src_payload.IN1
sink6_data[45] => src_payload.IN1
sink6_data[46] => src_payload.IN1
sink6_data[47] => src_payload.IN1
sink6_data[48] => src_payload.IN1
sink6_data[49] => src_payload.IN1
sink6_data[50] => src_payload.IN1
sink6_data[51] => src_payload.IN1
sink6_data[52] => src_payload.IN1
sink6_data[53] => src_payload.IN1
sink6_data[54] => src_payload.IN1
sink6_data[55] => src_payload.IN1
sink6_data[56] => src_payload.IN1
sink6_data[57] => src_payload.IN1
sink6_data[58] => src_payload.IN1
sink6_data[59] => src_payload.IN1
sink6_data[60] => src_payload.IN1
sink6_data[61] => src_payload.IN1
sink6_data[62] => src_payload.IN1
sink6_data[63] => src_payload.IN1
sink6_data[64] => src_payload.IN1
sink6_data[65] => src_payload.IN1
sink6_data[66] => src_payload.IN1
sink6_data[67] => src_payload.IN1
sink6_data[68] => src_payload.IN1
sink6_data[69] => src_payload.IN1
sink6_data[70] => src_payload.IN1
sink6_data[70] => last_cycle.IN1
sink6_data[71] => src_payload.IN1
sink6_data[72] => src_payload.IN1
sink6_data[73] => src_payload.IN1
sink6_data[74] => src_payload.IN1
sink6_data[75] => src_payload.IN1
sink6_data[76] => src_payload.IN1
sink6_data[77] => src_payload.IN1
sink6_data[78] => src_payload.IN1
sink6_data[79] => src_payload.IN1
sink6_data[80] => src_payload.IN1
sink6_data[81] => src_payload.IN1
sink6_data[82] => src_payload.IN1
sink6_data[83] => src_payload.IN1
sink6_data[84] => src_payload.IN1
sink6_data[85] => src_payload.IN1
sink6_data[86] => src_payload.IN1
sink6_data[87] => src_payload.IN1
sink6_data[88] => src_payload.IN1
sink6_data[89] => src_payload.IN1
sink6_data[90] => src_payload.IN1
sink6_data[91] => src_payload.IN1
sink6_data[92] => src_payload.IN1
sink6_data[93] => src_payload.IN1
sink6_data[94] => src_payload.IN1
sink6_data[95] => src_payload.IN1
sink6_data[96] => src_payload.IN1
sink6_data[97] => src_payload.IN1
sink6_data[98] => src_payload.IN1
sink6_data[99] => src_payload.IN1
sink6_data[100] => src_payload.IN1
sink6_data[101] => src_payload.IN1
sink6_data[102] => src_payload.IN1
sink6_data[103] => src_payload.IN1
sink6_data[104] => src_payload.IN1
sink6_data[105] => src_payload.IN1
sink6_data[106] => src_payload.IN1
sink6_data[107] => src_payload.IN1
sink6_data[108] => src_payload.IN1
sink6_data[109] => src_payload.IN1
sink6_data[110] => src_payload.IN1
sink6_data[111] => src_payload.IN1
sink6_data[112] => src_payload.IN1
sink6_data[113] => src_payload.IN1
sink6_data[114] => src_payload.IN1
sink6_data[115] => src_payload.IN1
sink6_data[116] => src_payload.IN1
sink6_data[117] => src_payload.IN1
sink6_data[118] => src_payload.IN1
sink6_data[119] => src_payload.IN1
sink6_data[120] => src_payload.IN1
sink6_data[121] => src_payload.IN1
sink6_data[122] => src_payload.IN1
sink6_data[123] => src_payload.IN1
sink6_data[124] => src_payload.IN1
sink6_data[125] => src_payload.IN1
sink6_data[126] => src_payload.IN1
sink6_data[127] => src_payload.IN1
sink6_data[128] => src_payload.IN1
sink6_channel[0] => src_payload.IN1
sink6_channel[1] => src_payload.IN1
sink6_channel[2] => src_payload.IN1
sink6_channel[3] => src_payload.IN1
sink6_channel[4] => src_payload.IN1
sink6_channel[5] => src_payload.IN1
sink6_channel[6] => src_payload.IN1
sink6_channel[7] => src_payload.IN1
sink6_channel[8] => src_payload.IN1
sink6_channel[9] => src_payload.IN1
sink6_channel[10] => src_payload.IN1
sink6_channel[11] => src_payload.IN1
sink6_channel[12] => src_payload.IN1
sink6_channel[13] => src_payload.IN1
sink6_channel[14] => src_payload.IN1
sink6_channel[15] => src_payload.IN1
sink6_channel[16] => src_payload.IN1
sink6_channel[17] => src_payload.IN1
sink6_channel[18] => src_payload.IN1
sink6_channel[19] => src_payload.IN1
sink6_channel[20] => src_payload.IN1
sink6_channel[21] => src_payload.IN1
sink6_startofpacket => src_payload.IN1
sink6_endofpacket => src_payload.IN1
sink6_ready <= sink6_ready.DB_MAX_OUTPUT_PORT_TYPE
sink7_valid => request[7].IN1
sink7_data[0] => src_payload.IN1
sink7_data[1] => src_payload.IN1
sink7_data[2] => src_payload.IN1
sink7_data[3] => src_payload.IN1
sink7_data[4] => src_payload.IN1
sink7_data[5] => src_payload.IN1
sink7_data[6] => src_payload.IN1
sink7_data[7] => src_payload.IN1
sink7_data[8] => src_payload.IN1
sink7_data[9] => src_payload.IN1
sink7_data[10] => src_payload.IN1
sink7_data[11] => src_payload.IN1
sink7_data[12] => src_payload.IN1
sink7_data[13] => src_payload.IN1
sink7_data[14] => src_payload.IN1
sink7_data[15] => src_payload.IN1
sink7_data[16] => src_payload.IN1
sink7_data[17] => src_payload.IN1
sink7_data[18] => src_payload.IN1
sink7_data[19] => src_payload.IN1
sink7_data[20] => src_payload.IN1
sink7_data[21] => src_payload.IN1
sink7_data[22] => src_payload.IN1
sink7_data[23] => src_payload.IN1
sink7_data[24] => src_payload.IN1
sink7_data[25] => src_payload.IN1
sink7_data[26] => src_payload.IN1
sink7_data[27] => src_payload.IN1
sink7_data[28] => src_payload.IN1
sink7_data[29] => src_payload.IN1
sink7_data[30] => src_payload.IN1
sink7_data[31] => src_payload.IN1
sink7_data[32] => src_payload.IN1
sink7_data[33] => src_payload.IN1
sink7_data[34] => src_payload.IN1
sink7_data[35] => src_payload.IN1
sink7_data[36] => src_payload.IN1
sink7_data[37] => src_payload.IN1
sink7_data[38] => src_payload.IN1
sink7_data[39] => src_payload.IN1
sink7_data[40] => src_payload.IN1
sink7_data[41] => src_payload.IN1
sink7_data[42] => src_payload.IN1
sink7_data[43] => src_payload.IN1
sink7_data[44] => src_payload.IN1
sink7_data[45] => src_payload.IN1
sink7_data[46] => src_payload.IN1
sink7_data[47] => src_payload.IN1
sink7_data[48] => src_payload.IN1
sink7_data[49] => src_payload.IN1
sink7_data[50] => src_payload.IN1
sink7_data[51] => src_payload.IN1
sink7_data[52] => src_payload.IN1
sink7_data[53] => src_payload.IN1
sink7_data[54] => src_payload.IN1
sink7_data[55] => src_payload.IN1
sink7_data[56] => src_payload.IN1
sink7_data[57] => src_payload.IN1
sink7_data[58] => src_payload.IN1
sink7_data[59] => src_payload.IN1
sink7_data[60] => src_payload.IN1
sink7_data[61] => src_payload.IN1
sink7_data[62] => src_payload.IN1
sink7_data[63] => src_payload.IN1
sink7_data[64] => src_payload.IN1
sink7_data[65] => src_payload.IN1
sink7_data[66] => src_payload.IN1
sink7_data[67] => src_payload.IN1
sink7_data[68] => src_payload.IN1
sink7_data[69] => src_payload.IN1
sink7_data[70] => src_payload.IN1
sink7_data[70] => last_cycle.IN1
sink7_data[71] => src_payload.IN1
sink7_data[72] => src_payload.IN1
sink7_data[73] => src_payload.IN1
sink7_data[74] => src_payload.IN1
sink7_data[75] => src_payload.IN1
sink7_data[76] => src_payload.IN1
sink7_data[77] => src_payload.IN1
sink7_data[78] => src_payload.IN1
sink7_data[79] => src_payload.IN1
sink7_data[80] => src_payload.IN1
sink7_data[81] => src_payload.IN1
sink7_data[82] => src_payload.IN1
sink7_data[83] => src_payload.IN1
sink7_data[84] => src_payload.IN1
sink7_data[85] => src_payload.IN1
sink7_data[86] => src_payload.IN1
sink7_data[87] => src_payload.IN1
sink7_data[88] => src_payload.IN1
sink7_data[89] => src_payload.IN1
sink7_data[90] => src_payload.IN1
sink7_data[91] => src_payload.IN1
sink7_data[92] => src_payload.IN1
sink7_data[93] => src_payload.IN1
sink7_data[94] => src_payload.IN1
sink7_data[95] => src_payload.IN1
sink7_data[96] => src_payload.IN1
sink7_data[97] => src_payload.IN1
sink7_data[98] => src_payload.IN1
sink7_data[99] => src_payload.IN1
sink7_data[100] => src_payload.IN1
sink7_data[101] => src_payload.IN1
sink7_data[102] => src_payload.IN1
sink7_data[103] => src_payload.IN1
sink7_data[104] => src_payload.IN1
sink7_data[105] => src_payload.IN1
sink7_data[106] => src_payload.IN1
sink7_data[107] => src_payload.IN1
sink7_data[108] => src_payload.IN1
sink7_data[109] => src_payload.IN1
sink7_data[110] => src_payload.IN1
sink7_data[111] => src_payload.IN1
sink7_data[112] => src_payload.IN1
sink7_data[113] => src_payload.IN1
sink7_data[114] => src_payload.IN1
sink7_data[115] => src_payload.IN1
sink7_data[116] => src_payload.IN1
sink7_data[117] => src_payload.IN1
sink7_data[118] => src_payload.IN1
sink7_data[119] => src_payload.IN1
sink7_data[120] => src_payload.IN1
sink7_data[121] => src_payload.IN1
sink7_data[122] => src_payload.IN1
sink7_data[123] => src_payload.IN1
sink7_data[124] => src_payload.IN1
sink7_data[125] => src_payload.IN1
sink7_data[126] => src_payload.IN1
sink7_data[127] => src_payload.IN1
sink7_data[128] => src_payload.IN1
sink7_channel[0] => src_payload.IN1
sink7_channel[1] => src_payload.IN1
sink7_channel[2] => src_payload.IN1
sink7_channel[3] => src_payload.IN1
sink7_channel[4] => src_payload.IN1
sink7_channel[5] => src_payload.IN1
sink7_channel[6] => src_payload.IN1
sink7_channel[7] => src_payload.IN1
sink7_channel[8] => src_payload.IN1
sink7_channel[9] => src_payload.IN1
sink7_channel[10] => src_payload.IN1
sink7_channel[11] => src_payload.IN1
sink7_channel[12] => src_payload.IN1
sink7_channel[13] => src_payload.IN1
sink7_channel[14] => src_payload.IN1
sink7_channel[15] => src_payload.IN1
sink7_channel[16] => src_payload.IN1
sink7_channel[17] => src_payload.IN1
sink7_channel[18] => src_payload.IN1
sink7_channel[19] => src_payload.IN1
sink7_channel[20] => src_payload.IN1
sink7_channel[21] => src_payload.IN1
sink7_startofpacket => src_payload.IN1
sink7_endofpacket => src_payload.IN1
sink7_ready <= sink7_ready.DB_MAX_OUTPUT_PORT_TYPE
sink8_valid => request[8].IN1
sink8_data[0] => src_payload.IN1
sink8_data[1] => src_payload.IN1
sink8_data[2] => src_payload.IN1
sink8_data[3] => src_payload.IN1
sink8_data[4] => src_payload.IN1
sink8_data[5] => src_payload.IN1
sink8_data[6] => src_payload.IN1
sink8_data[7] => src_payload.IN1
sink8_data[8] => src_payload.IN1
sink8_data[9] => src_payload.IN1
sink8_data[10] => src_payload.IN1
sink8_data[11] => src_payload.IN1
sink8_data[12] => src_payload.IN1
sink8_data[13] => src_payload.IN1
sink8_data[14] => src_payload.IN1
sink8_data[15] => src_payload.IN1
sink8_data[16] => src_payload.IN1
sink8_data[17] => src_payload.IN1
sink8_data[18] => src_payload.IN1
sink8_data[19] => src_payload.IN1
sink8_data[20] => src_payload.IN1
sink8_data[21] => src_payload.IN1
sink8_data[22] => src_payload.IN1
sink8_data[23] => src_payload.IN1
sink8_data[24] => src_payload.IN1
sink8_data[25] => src_payload.IN1
sink8_data[26] => src_payload.IN1
sink8_data[27] => src_payload.IN1
sink8_data[28] => src_payload.IN1
sink8_data[29] => src_payload.IN1
sink8_data[30] => src_payload.IN1
sink8_data[31] => src_payload.IN1
sink8_data[32] => src_payload.IN1
sink8_data[33] => src_payload.IN1
sink8_data[34] => src_payload.IN1
sink8_data[35] => src_payload.IN1
sink8_data[36] => src_payload.IN1
sink8_data[37] => src_payload.IN1
sink8_data[38] => src_payload.IN1
sink8_data[39] => src_payload.IN1
sink8_data[40] => src_payload.IN1
sink8_data[41] => src_payload.IN1
sink8_data[42] => src_payload.IN1
sink8_data[43] => src_payload.IN1
sink8_data[44] => src_payload.IN1
sink8_data[45] => src_payload.IN1
sink8_data[46] => src_payload.IN1
sink8_data[47] => src_payload.IN1
sink8_data[48] => src_payload.IN1
sink8_data[49] => src_payload.IN1
sink8_data[50] => src_payload.IN1
sink8_data[51] => src_payload.IN1
sink8_data[52] => src_payload.IN1
sink8_data[53] => src_payload.IN1
sink8_data[54] => src_payload.IN1
sink8_data[55] => src_payload.IN1
sink8_data[56] => src_payload.IN1
sink8_data[57] => src_payload.IN1
sink8_data[58] => src_payload.IN1
sink8_data[59] => src_payload.IN1
sink8_data[60] => src_payload.IN1
sink8_data[61] => src_payload.IN1
sink8_data[62] => src_payload.IN1
sink8_data[63] => src_payload.IN1
sink8_data[64] => src_payload.IN1
sink8_data[65] => src_payload.IN1
sink8_data[66] => src_payload.IN1
sink8_data[67] => src_payload.IN1
sink8_data[68] => src_payload.IN1
sink8_data[69] => src_payload.IN1
sink8_data[70] => src_payload.IN1
sink8_data[70] => last_cycle.IN1
sink8_data[71] => src_payload.IN1
sink8_data[72] => src_payload.IN1
sink8_data[73] => src_payload.IN1
sink8_data[74] => src_payload.IN1
sink8_data[75] => src_payload.IN1
sink8_data[76] => src_payload.IN1
sink8_data[77] => src_payload.IN1
sink8_data[78] => src_payload.IN1
sink8_data[79] => src_payload.IN1
sink8_data[80] => src_payload.IN1
sink8_data[81] => src_payload.IN1
sink8_data[82] => src_payload.IN1
sink8_data[83] => src_payload.IN1
sink8_data[84] => src_payload.IN1
sink8_data[85] => src_payload.IN1
sink8_data[86] => src_payload.IN1
sink8_data[87] => src_payload.IN1
sink8_data[88] => src_payload.IN1
sink8_data[89] => src_payload.IN1
sink8_data[90] => src_payload.IN1
sink8_data[91] => src_payload.IN1
sink8_data[92] => src_payload.IN1
sink8_data[93] => src_payload.IN1
sink8_data[94] => src_payload.IN1
sink8_data[95] => src_payload.IN1
sink8_data[96] => src_payload.IN1
sink8_data[97] => src_payload.IN1
sink8_data[98] => src_payload.IN1
sink8_data[99] => src_payload.IN1
sink8_data[100] => src_payload.IN1
sink8_data[101] => src_payload.IN1
sink8_data[102] => src_payload.IN1
sink8_data[103] => src_payload.IN1
sink8_data[104] => src_payload.IN1
sink8_data[105] => src_payload.IN1
sink8_data[106] => src_payload.IN1
sink8_data[107] => src_payload.IN1
sink8_data[108] => src_payload.IN1
sink8_data[109] => src_payload.IN1
sink8_data[110] => src_payload.IN1
sink8_data[111] => src_payload.IN1
sink8_data[112] => src_payload.IN1
sink8_data[113] => src_payload.IN1
sink8_data[114] => src_payload.IN1
sink8_data[115] => src_payload.IN1
sink8_data[116] => src_payload.IN1
sink8_data[117] => src_payload.IN1
sink8_data[118] => src_payload.IN1
sink8_data[119] => src_payload.IN1
sink8_data[120] => src_payload.IN1
sink8_data[121] => src_payload.IN1
sink8_data[122] => src_payload.IN1
sink8_data[123] => src_payload.IN1
sink8_data[124] => src_payload.IN1
sink8_data[125] => src_payload.IN1
sink8_data[126] => src_payload.IN1
sink8_data[127] => src_payload.IN1
sink8_data[128] => src_payload.IN1
sink8_channel[0] => src_payload.IN1
sink8_channel[1] => src_payload.IN1
sink8_channel[2] => src_payload.IN1
sink8_channel[3] => src_payload.IN1
sink8_channel[4] => src_payload.IN1
sink8_channel[5] => src_payload.IN1
sink8_channel[6] => src_payload.IN1
sink8_channel[7] => src_payload.IN1
sink8_channel[8] => src_payload.IN1
sink8_channel[9] => src_payload.IN1
sink8_channel[10] => src_payload.IN1
sink8_channel[11] => src_payload.IN1
sink8_channel[12] => src_payload.IN1
sink8_channel[13] => src_payload.IN1
sink8_channel[14] => src_payload.IN1
sink8_channel[15] => src_payload.IN1
sink8_channel[16] => src_payload.IN1
sink8_channel[17] => src_payload.IN1
sink8_channel[18] => src_payload.IN1
sink8_channel[19] => src_payload.IN1
sink8_channel[20] => src_payload.IN1
sink8_channel[21] => src_payload.IN1
sink8_startofpacket => src_payload.IN1
sink8_endofpacket => src_payload.IN1
sink8_ready <= sink8_ready.DB_MAX_OUTPUT_PORT_TYPE
sink9_valid => request[9].IN1
sink9_data[0] => src_payload.IN1
sink9_data[1] => src_payload.IN1
sink9_data[2] => src_payload.IN1
sink9_data[3] => src_payload.IN1
sink9_data[4] => src_payload.IN1
sink9_data[5] => src_payload.IN1
sink9_data[6] => src_payload.IN1
sink9_data[7] => src_payload.IN1
sink9_data[8] => src_payload.IN1
sink9_data[9] => src_payload.IN1
sink9_data[10] => src_payload.IN1
sink9_data[11] => src_payload.IN1
sink9_data[12] => src_payload.IN1
sink9_data[13] => src_payload.IN1
sink9_data[14] => src_payload.IN1
sink9_data[15] => src_payload.IN1
sink9_data[16] => src_payload.IN1
sink9_data[17] => src_payload.IN1
sink9_data[18] => src_payload.IN1
sink9_data[19] => src_payload.IN1
sink9_data[20] => src_payload.IN1
sink9_data[21] => src_payload.IN1
sink9_data[22] => src_payload.IN1
sink9_data[23] => src_payload.IN1
sink9_data[24] => src_payload.IN1
sink9_data[25] => src_payload.IN1
sink9_data[26] => src_payload.IN1
sink9_data[27] => src_payload.IN1
sink9_data[28] => src_payload.IN1
sink9_data[29] => src_payload.IN1
sink9_data[30] => src_payload.IN1
sink9_data[31] => src_payload.IN1
sink9_data[32] => src_payload.IN1
sink9_data[33] => src_payload.IN1
sink9_data[34] => src_payload.IN1
sink9_data[35] => src_payload.IN1
sink9_data[36] => src_payload.IN1
sink9_data[37] => src_payload.IN1
sink9_data[38] => src_payload.IN1
sink9_data[39] => src_payload.IN1
sink9_data[40] => src_payload.IN1
sink9_data[41] => src_payload.IN1
sink9_data[42] => src_payload.IN1
sink9_data[43] => src_payload.IN1
sink9_data[44] => src_payload.IN1
sink9_data[45] => src_payload.IN1
sink9_data[46] => src_payload.IN1
sink9_data[47] => src_payload.IN1
sink9_data[48] => src_payload.IN1
sink9_data[49] => src_payload.IN1
sink9_data[50] => src_payload.IN1
sink9_data[51] => src_payload.IN1
sink9_data[52] => src_payload.IN1
sink9_data[53] => src_payload.IN1
sink9_data[54] => src_payload.IN1
sink9_data[55] => src_payload.IN1
sink9_data[56] => src_payload.IN1
sink9_data[57] => src_payload.IN1
sink9_data[58] => src_payload.IN1
sink9_data[59] => src_payload.IN1
sink9_data[60] => src_payload.IN1
sink9_data[61] => src_payload.IN1
sink9_data[62] => src_payload.IN1
sink9_data[63] => src_payload.IN1
sink9_data[64] => src_payload.IN1
sink9_data[65] => src_payload.IN1
sink9_data[66] => src_payload.IN1
sink9_data[67] => src_payload.IN1
sink9_data[68] => src_payload.IN1
sink9_data[69] => src_payload.IN1
sink9_data[70] => src_payload.IN1
sink9_data[70] => last_cycle.IN1
sink9_data[71] => src_payload.IN1
sink9_data[72] => src_payload.IN1
sink9_data[73] => src_payload.IN1
sink9_data[74] => src_payload.IN1
sink9_data[75] => src_payload.IN1
sink9_data[76] => src_payload.IN1
sink9_data[77] => src_payload.IN1
sink9_data[78] => src_payload.IN1
sink9_data[79] => src_payload.IN1
sink9_data[80] => src_payload.IN1
sink9_data[81] => src_payload.IN1
sink9_data[82] => src_payload.IN1
sink9_data[83] => src_payload.IN1
sink9_data[84] => src_payload.IN1
sink9_data[85] => src_payload.IN1
sink9_data[86] => src_payload.IN1
sink9_data[87] => src_payload.IN1
sink9_data[88] => src_payload.IN1
sink9_data[89] => src_payload.IN1
sink9_data[90] => src_payload.IN1
sink9_data[91] => src_payload.IN1
sink9_data[92] => src_payload.IN1
sink9_data[93] => src_payload.IN1
sink9_data[94] => src_payload.IN1
sink9_data[95] => src_payload.IN1
sink9_data[96] => src_payload.IN1
sink9_data[97] => src_payload.IN1
sink9_data[98] => src_payload.IN1
sink9_data[99] => src_payload.IN1
sink9_data[100] => src_payload.IN1
sink9_data[101] => src_payload.IN1
sink9_data[102] => src_payload.IN1
sink9_data[103] => src_payload.IN1
sink9_data[104] => src_payload.IN1
sink9_data[105] => src_payload.IN1
sink9_data[106] => src_payload.IN1
sink9_data[107] => src_payload.IN1
sink9_data[108] => src_payload.IN1
sink9_data[109] => src_payload.IN1
sink9_data[110] => src_payload.IN1
sink9_data[111] => src_payload.IN1
sink9_data[112] => src_payload.IN1
sink9_data[113] => src_payload.IN1
sink9_data[114] => src_payload.IN1
sink9_data[115] => src_payload.IN1
sink9_data[116] => src_payload.IN1
sink9_data[117] => src_payload.IN1
sink9_data[118] => src_payload.IN1
sink9_data[119] => src_payload.IN1
sink9_data[120] => src_payload.IN1
sink9_data[121] => src_payload.IN1
sink9_data[122] => src_payload.IN1
sink9_data[123] => src_payload.IN1
sink9_data[124] => src_payload.IN1
sink9_data[125] => src_payload.IN1
sink9_data[126] => src_payload.IN1
sink9_data[127] => src_payload.IN1
sink9_data[128] => src_payload.IN1
sink9_channel[0] => src_payload.IN1
sink9_channel[1] => src_payload.IN1
sink9_channel[2] => src_payload.IN1
sink9_channel[3] => src_payload.IN1
sink9_channel[4] => src_payload.IN1
sink9_channel[5] => src_payload.IN1
sink9_channel[6] => src_payload.IN1
sink9_channel[7] => src_payload.IN1
sink9_channel[8] => src_payload.IN1
sink9_channel[9] => src_payload.IN1
sink9_channel[10] => src_payload.IN1
sink9_channel[11] => src_payload.IN1
sink9_channel[12] => src_payload.IN1
sink9_channel[13] => src_payload.IN1
sink9_channel[14] => src_payload.IN1
sink9_channel[15] => src_payload.IN1
sink9_channel[16] => src_payload.IN1
sink9_channel[17] => src_payload.IN1
sink9_channel[18] => src_payload.IN1
sink9_channel[19] => src_payload.IN1
sink9_channel[20] => src_payload.IN1
sink9_channel[21] => src_payload.IN1
sink9_startofpacket => src_payload.IN1
sink9_endofpacket => src_payload.IN1
sink9_ready <= sink9_ready.DB_MAX_OUTPUT_PORT_TYPE
sink10_valid => request[10].IN1
sink10_data[0] => src_payload.IN1
sink10_data[1] => src_payload.IN1
sink10_data[2] => src_payload.IN1
sink10_data[3] => src_payload.IN1
sink10_data[4] => src_payload.IN1
sink10_data[5] => src_payload.IN1
sink10_data[6] => src_payload.IN1
sink10_data[7] => src_payload.IN1
sink10_data[8] => src_payload.IN1
sink10_data[9] => src_payload.IN1
sink10_data[10] => src_payload.IN1
sink10_data[11] => src_payload.IN1
sink10_data[12] => src_payload.IN1
sink10_data[13] => src_payload.IN1
sink10_data[14] => src_payload.IN1
sink10_data[15] => src_payload.IN1
sink10_data[16] => src_payload.IN1
sink10_data[17] => src_payload.IN1
sink10_data[18] => src_payload.IN1
sink10_data[19] => src_payload.IN1
sink10_data[20] => src_payload.IN1
sink10_data[21] => src_payload.IN1
sink10_data[22] => src_payload.IN1
sink10_data[23] => src_payload.IN1
sink10_data[24] => src_payload.IN1
sink10_data[25] => src_payload.IN1
sink10_data[26] => src_payload.IN1
sink10_data[27] => src_payload.IN1
sink10_data[28] => src_payload.IN1
sink10_data[29] => src_payload.IN1
sink10_data[30] => src_payload.IN1
sink10_data[31] => src_payload.IN1
sink10_data[32] => src_payload.IN1
sink10_data[33] => src_payload.IN1
sink10_data[34] => src_payload.IN1
sink10_data[35] => src_payload.IN1
sink10_data[36] => src_payload.IN1
sink10_data[37] => src_payload.IN1
sink10_data[38] => src_payload.IN1
sink10_data[39] => src_payload.IN1
sink10_data[40] => src_payload.IN1
sink10_data[41] => src_payload.IN1
sink10_data[42] => src_payload.IN1
sink10_data[43] => src_payload.IN1
sink10_data[44] => src_payload.IN1
sink10_data[45] => src_payload.IN1
sink10_data[46] => src_payload.IN1
sink10_data[47] => src_payload.IN1
sink10_data[48] => src_payload.IN1
sink10_data[49] => src_payload.IN1
sink10_data[50] => src_payload.IN1
sink10_data[51] => src_payload.IN1
sink10_data[52] => src_payload.IN1
sink10_data[53] => src_payload.IN1
sink10_data[54] => src_payload.IN1
sink10_data[55] => src_payload.IN1
sink10_data[56] => src_payload.IN1
sink10_data[57] => src_payload.IN1
sink10_data[58] => src_payload.IN1
sink10_data[59] => src_payload.IN1
sink10_data[60] => src_payload.IN1
sink10_data[61] => src_payload.IN1
sink10_data[62] => src_payload.IN1
sink10_data[63] => src_payload.IN1
sink10_data[64] => src_payload.IN1
sink10_data[65] => src_payload.IN1
sink10_data[66] => src_payload.IN1
sink10_data[67] => src_payload.IN1
sink10_data[68] => src_payload.IN1
sink10_data[69] => src_payload.IN1
sink10_data[70] => src_payload.IN1
sink10_data[70] => last_cycle.IN1
sink10_data[71] => src_payload.IN1
sink10_data[72] => src_payload.IN1
sink10_data[73] => src_payload.IN1
sink10_data[74] => src_payload.IN1
sink10_data[75] => src_payload.IN1
sink10_data[76] => src_payload.IN1
sink10_data[77] => src_payload.IN1
sink10_data[78] => src_payload.IN1
sink10_data[79] => src_payload.IN1
sink10_data[80] => src_payload.IN1
sink10_data[81] => src_payload.IN1
sink10_data[82] => src_payload.IN1
sink10_data[83] => src_payload.IN1
sink10_data[84] => src_payload.IN1
sink10_data[85] => src_payload.IN1
sink10_data[86] => src_payload.IN1
sink10_data[87] => src_payload.IN1
sink10_data[88] => src_payload.IN1
sink10_data[89] => src_payload.IN1
sink10_data[90] => src_payload.IN1
sink10_data[91] => src_payload.IN1
sink10_data[92] => src_payload.IN1
sink10_data[93] => src_payload.IN1
sink10_data[94] => src_payload.IN1
sink10_data[95] => src_payload.IN1
sink10_data[96] => src_payload.IN1
sink10_data[97] => src_payload.IN1
sink10_data[98] => src_payload.IN1
sink10_data[99] => src_payload.IN1
sink10_data[100] => src_payload.IN1
sink10_data[101] => src_payload.IN1
sink10_data[102] => src_payload.IN1
sink10_data[103] => src_payload.IN1
sink10_data[104] => src_payload.IN1
sink10_data[105] => src_payload.IN1
sink10_data[106] => src_payload.IN1
sink10_data[107] => src_payload.IN1
sink10_data[108] => src_payload.IN1
sink10_data[109] => src_payload.IN1
sink10_data[110] => src_payload.IN1
sink10_data[111] => src_payload.IN1
sink10_data[112] => src_payload.IN1
sink10_data[113] => src_payload.IN1
sink10_data[114] => src_payload.IN1
sink10_data[115] => src_payload.IN1
sink10_data[116] => src_payload.IN1
sink10_data[117] => src_payload.IN1
sink10_data[118] => src_payload.IN1
sink10_data[119] => src_payload.IN1
sink10_data[120] => src_payload.IN1
sink10_data[121] => src_payload.IN1
sink10_data[122] => src_payload.IN1
sink10_data[123] => src_payload.IN1
sink10_data[124] => src_payload.IN1
sink10_data[125] => src_payload.IN1
sink10_data[126] => src_payload.IN1
sink10_data[127] => src_payload.IN1
sink10_data[128] => src_payload.IN1
sink10_channel[0] => src_payload.IN1
sink10_channel[1] => src_payload.IN1
sink10_channel[2] => src_payload.IN1
sink10_channel[3] => src_payload.IN1
sink10_channel[4] => src_payload.IN1
sink10_channel[5] => src_payload.IN1
sink10_channel[6] => src_payload.IN1
sink10_channel[7] => src_payload.IN1
sink10_channel[8] => src_payload.IN1
sink10_channel[9] => src_payload.IN1
sink10_channel[10] => src_payload.IN1
sink10_channel[11] => src_payload.IN1
sink10_channel[12] => src_payload.IN1
sink10_channel[13] => src_payload.IN1
sink10_channel[14] => src_payload.IN1
sink10_channel[15] => src_payload.IN1
sink10_channel[16] => src_payload.IN1
sink10_channel[17] => src_payload.IN1
sink10_channel[18] => src_payload.IN1
sink10_channel[19] => src_payload.IN1
sink10_channel[20] => src_payload.IN1
sink10_channel[21] => src_payload.IN1
sink10_startofpacket => src_payload.IN1
sink10_endofpacket => src_payload.IN1
sink10_ready <= sink10_ready.DB_MAX_OUTPUT_PORT_TYPE
sink11_valid => request[11].IN1
sink11_data[0] => src_payload.IN1
sink11_data[1] => src_payload.IN1
sink11_data[2] => src_payload.IN1
sink11_data[3] => src_payload.IN1
sink11_data[4] => src_payload.IN1
sink11_data[5] => src_payload.IN1
sink11_data[6] => src_payload.IN1
sink11_data[7] => src_payload.IN1
sink11_data[8] => src_payload.IN1
sink11_data[9] => src_payload.IN1
sink11_data[10] => src_payload.IN1
sink11_data[11] => src_payload.IN1
sink11_data[12] => src_payload.IN1
sink11_data[13] => src_payload.IN1
sink11_data[14] => src_payload.IN1
sink11_data[15] => src_payload.IN1
sink11_data[16] => src_payload.IN1
sink11_data[17] => src_payload.IN1
sink11_data[18] => src_payload.IN1
sink11_data[19] => src_payload.IN1
sink11_data[20] => src_payload.IN1
sink11_data[21] => src_payload.IN1
sink11_data[22] => src_payload.IN1
sink11_data[23] => src_payload.IN1
sink11_data[24] => src_payload.IN1
sink11_data[25] => src_payload.IN1
sink11_data[26] => src_payload.IN1
sink11_data[27] => src_payload.IN1
sink11_data[28] => src_payload.IN1
sink11_data[29] => src_payload.IN1
sink11_data[30] => src_payload.IN1
sink11_data[31] => src_payload.IN1
sink11_data[32] => src_payload.IN1
sink11_data[33] => src_payload.IN1
sink11_data[34] => src_payload.IN1
sink11_data[35] => src_payload.IN1
sink11_data[36] => src_payload.IN1
sink11_data[37] => src_payload.IN1
sink11_data[38] => src_payload.IN1
sink11_data[39] => src_payload.IN1
sink11_data[40] => src_payload.IN1
sink11_data[41] => src_payload.IN1
sink11_data[42] => src_payload.IN1
sink11_data[43] => src_payload.IN1
sink11_data[44] => src_payload.IN1
sink11_data[45] => src_payload.IN1
sink11_data[46] => src_payload.IN1
sink11_data[47] => src_payload.IN1
sink11_data[48] => src_payload.IN1
sink11_data[49] => src_payload.IN1
sink11_data[50] => src_payload.IN1
sink11_data[51] => src_payload.IN1
sink11_data[52] => src_payload.IN1
sink11_data[53] => src_payload.IN1
sink11_data[54] => src_payload.IN1
sink11_data[55] => src_payload.IN1
sink11_data[56] => src_payload.IN1
sink11_data[57] => src_payload.IN1
sink11_data[58] => src_payload.IN1
sink11_data[59] => src_payload.IN1
sink11_data[60] => src_payload.IN1
sink11_data[61] => src_payload.IN1
sink11_data[62] => src_payload.IN1
sink11_data[63] => src_payload.IN1
sink11_data[64] => src_payload.IN1
sink11_data[65] => src_payload.IN1
sink11_data[66] => src_payload.IN1
sink11_data[67] => src_payload.IN1
sink11_data[68] => src_payload.IN1
sink11_data[69] => src_payload.IN1
sink11_data[70] => src_payload.IN1
sink11_data[70] => last_cycle.IN1
sink11_data[71] => src_payload.IN1
sink11_data[72] => src_payload.IN1
sink11_data[73] => src_payload.IN1
sink11_data[74] => src_payload.IN1
sink11_data[75] => src_payload.IN1
sink11_data[76] => src_payload.IN1
sink11_data[77] => src_payload.IN1
sink11_data[78] => src_payload.IN1
sink11_data[79] => src_payload.IN1
sink11_data[80] => src_payload.IN1
sink11_data[81] => src_payload.IN1
sink11_data[82] => src_payload.IN1
sink11_data[83] => src_payload.IN1
sink11_data[84] => src_payload.IN1
sink11_data[85] => src_payload.IN1
sink11_data[86] => src_payload.IN1
sink11_data[87] => src_payload.IN1
sink11_data[88] => src_payload.IN1
sink11_data[89] => src_payload.IN1
sink11_data[90] => src_payload.IN1
sink11_data[91] => src_payload.IN1
sink11_data[92] => src_payload.IN1
sink11_data[93] => src_payload.IN1
sink11_data[94] => src_payload.IN1
sink11_data[95] => src_payload.IN1
sink11_data[96] => src_payload.IN1
sink11_data[97] => src_payload.IN1
sink11_data[98] => src_payload.IN1
sink11_data[99] => src_payload.IN1
sink11_data[100] => src_payload.IN1
sink11_data[101] => src_payload.IN1
sink11_data[102] => src_payload.IN1
sink11_data[103] => src_payload.IN1
sink11_data[104] => src_payload.IN1
sink11_data[105] => src_payload.IN1
sink11_data[106] => src_payload.IN1
sink11_data[107] => src_payload.IN1
sink11_data[108] => src_payload.IN1
sink11_data[109] => src_payload.IN1
sink11_data[110] => src_payload.IN1
sink11_data[111] => src_payload.IN1
sink11_data[112] => src_payload.IN1
sink11_data[113] => src_payload.IN1
sink11_data[114] => src_payload.IN1
sink11_data[115] => src_payload.IN1
sink11_data[116] => src_payload.IN1
sink11_data[117] => src_payload.IN1
sink11_data[118] => src_payload.IN1
sink11_data[119] => src_payload.IN1
sink11_data[120] => src_payload.IN1
sink11_data[121] => src_payload.IN1
sink11_data[122] => src_payload.IN1
sink11_data[123] => src_payload.IN1
sink11_data[124] => src_payload.IN1
sink11_data[125] => src_payload.IN1
sink11_data[126] => src_payload.IN1
sink11_data[127] => src_payload.IN1
sink11_data[128] => src_payload.IN1
sink11_channel[0] => src_payload.IN1
sink11_channel[1] => src_payload.IN1
sink11_channel[2] => src_payload.IN1
sink11_channel[3] => src_payload.IN1
sink11_channel[4] => src_payload.IN1
sink11_channel[5] => src_payload.IN1
sink11_channel[6] => src_payload.IN1
sink11_channel[7] => src_payload.IN1
sink11_channel[8] => src_payload.IN1
sink11_channel[9] => src_payload.IN1
sink11_channel[10] => src_payload.IN1
sink11_channel[11] => src_payload.IN1
sink11_channel[12] => src_payload.IN1
sink11_channel[13] => src_payload.IN1
sink11_channel[14] => src_payload.IN1
sink11_channel[15] => src_payload.IN1
sink11_channel[16] => src_payload.IN1
sink11_channel[17] => src_payload.IN1
sink11_channel[18] => src_payload.IN1
sink11_channel[19] => src_payload.IN1
sink11_channel[20] => src_payload.IN1
sink11_channel[21] => src_payload.IN1
sink11_startofpacket => src_payload.IN1
sink11_endofpacket => src_payload.IN1
sink11_ready <= sink11_ready.DB_MAX_OUTPUT_PORT_TYPE
sink12_valid => request[12].IN1
sink12_data[0] => src_payload.IN1
sink12_data[1] => src_payload.IN1
sink12_data[2] => src_payload.IN1
sink12_data[3] => src_payload.IN1
sink12_data[4] => src_payload.IN1
sink12_data[5] => src_payload.IN1
sink12_data[6] => src_payload.IN1
sink12_data[7] => src_payload.IN1
sink12_data[8] => src_payload.IN1
sink12_data[9] => src_payload.IN1
sink12_data[10] => src_payload.IN1
sink12_data[11] => src_payload.IN1
sink12_data[12] => src_payload.IN1
sink12_data[13] => src_payload.IN1
sink12_data[14] => src_payload.IN1
sink12_data[15] => src_payload.IN1
sink12_data[16] => src_payload.IN1
sink12_data[17] => src_payload.IN1
sink12_data[18] => src_payload.IN1
sink12_data[19] => src_payload.IN1
sink12_data[20] => src_payload.IN1
sink12_data[21] => src_payload.IN1
sink12_data[22] => src_payload.IN1
sink12_data[23] => src_payload.IN1
sink12_data[24] => src_payload.IN1
sink12_data[25] => src_payload.IN1
sink12_data[26] => src_payload.IN1
sink12_data[27] => src_payload.IN1
sink12_data[28] => src_payload.IN1
sink12_data[29] => src_payload.IN1
sink12_data[30] => src_payload.IN1
sink12_data[31] => src_payload.IN1
sink12_data[32] => src_payload.IN1
sink12_data[33] => src_payload.IN1
sink12_data[34] => src_payload.IN1
sink12_data[35] => src_payload.IN1
sink12_data[36] => src_payload.IN1
sink12_data[37] => src_payload.IN1
sink12_data[38] => src_payload.IN1
sink12_data[39] => src_payload.IN1
sink12_data[40] => src_payload.IN1
sink12_data[41] => src_payload.IN1
sink12_data[42] => src_payload.IN1
sink12_data[43] => src_payload.IN1
sink12_data[44] => src_payload.IN1
sink12_data[45] => src_payload.IN1
sink12_data[46] => src_payload.IN1
sink12_data[47] => src_payload.IN1
sink12_data[48] => src_payload.IN1
sink12_data[49] => src_payload.IN1
sink12_data[50] => src_payload.IN1
sink12_data[51] => src_payload.IN1
sink12_data[52] => src_payload.IN1
sink12_data[53] => src_payload.IN1
sink12_data[54] => src_payload.IN1
sink12_data[55] => src_payload.IN1
sink12_data[56] => src_payload.IN1
sink12_data[57] => src_payload.IN1
sink12_data[58] => src_payload.IN1
sink12_data[59] => src_payload.IN1
sink12_data[60] => src_payload.IN1
sink12_data[61] => src_payload.IN1
sink12_data[62] => src_payload.IN1
sink12_data[63] => src_payload.IN1
sink12_data[64] => src_payload.IN1
sink12_data[65] => src_payload.IN1
sink12_data[66] => src_payload.IN1
sink12_data[67] => src_payload.IN1
sink12_data[68] => src_payload.IN1
sink12_data[69] => src_payload.IN1
sink12_data[70] => src_payload.IN1
sink12_data[70] => last_cycle.IN1
sink12_data[71] => src_payload.IN1
sink12_data[72] => src_payload.IN1
sink12_data[73] => src_payload.IN1
sink12_data[74] => src_payload.IN1
sink12_data[75] => src_payload.IN1
sink12_data[76] => src_payload.IN1
sink12_data[77] => src_payload.IN1
sink12_data[78] => src_payload.IN1
sink12_data[79] => src_payload.IN1
sink12_data[80] => src_payload.IN1
sink12_data[81] => src_payload.IN1
sink12_data[82] => src_payload.IN1
sink12_data[83] => src_payload.IN1
sink12_data[84] => src_payload.IN1
sink12_data[85] => src_payload.IN1
sink12_data[86] => src_payload.IN1
sink12_data[87] => src_payload.IN1
sink12_data[88] => src_payload.IN1
sink12_data[89] => src_payload.IN1
sink12_data[90] => src_payload.IN1
sink12_data[91] => src_payload.IN1
sink12_data[92] => src_payload.IN1
sink12_data[93] => src_payload.IN1
sink12_data[94] => src_payload.IN1
sink12_data[95] => src_payload.IN1
sink12_data[96] => src_payload.IN1
sink12_data[97] => src_payload.IN1
sink12_data[98] => src_payload.IN1
sink12_data[99] => src_payload.IN1
sink12_data[100] => src_payload.IN1
sink12_data[101] => src_payload.IN1
sink12_data[102] => src_payload.IN1
sink12_data[103] => src_payload.IN1
sink12_data[104] => src_payload.IN1
sink12_data[105] => src_payload.IN1
sink12_data[106] => src_payload.IN1
sink12_data[107] => src_payload.IN1
sink12_data[108] => src_payload.IN1
sink12_data[109] => src_payload.IN1
sink12_data[110] => src_payload.IN1
sink12_data[111] => src_payload.IN1
sink12_data[112] => src_payload.IN1
sink12_data[113] => src_payload.IN1
sink12_data[114] => src_payload.IN1
sink12_data[115] => src_payload.IN1
sink12_data[116] => src_payload.IN1
sink12_data[117] => src_payload.IN1
sink12_data[118] => src_payload.IN1
sink12_data[119] => src_payload.IN1
sink12_data[120] => src_payload.IN1
sink12_data[121] => src_payload.IN1
sink12_data[122] => src_payload.IN1
sink12_data[123] => src_payload.IN1
sink12_data[124] => src_payload.IN1
sink12_data[125] => src_payload.IN1
sink12_data[126] => src_payload.IN1
sink12_data[127] => src_payload.IN1
sink12_data[128] => src_payload.IN1
sink12_channel[0] => src_payload.IN1
sink12_channel[1] => src_payload.IN1
sink12_channel[2] => src_payload.IN1
sink12_channel[3] => src_payload.IN1
sink12_channel[4] => src_payload.IN1
sink12_channel[5] => src_payload.IN1
sink12_channel[6] => src_payload.IN1
sink12_channel[7] => src_payload.IN1
sink12_channel[8] => src_payload.IN1
sink12_channel[9] => src_payload.IN1
sink12_channel[10] => src_payload.IN1
sink12_channel[11] => src_payload.IN1
sink12_channel[12] => src_payload.IN1
sink12_channel[13] => src_payload.IN1
sink12_channel[14] => src_payload.IN1
sink12_channel[15] => src_payload.IN1
sink12_channel[16] => src_payload.IN1
sink12_channel[17] => src_payload.IN1
sink12_channel[18] => src_payload.IN1
sink12_channel[19] => src_payload.IN1
sink12_channel[20] => src_payload.IN1
sink12_channel[21] => src_payload.IN1
sink12_startofpacket => src_payload.IN1
sink12_endofpacket => src_payload.IN1
sink12_ready <= sink12_ready.DB_MAX_OUTPUT_PORT_TYPE
sink13_valid => request[13].IN1
sink13_data[0] => src_payload.IN1
sink13_data[1] => src_payload.IN1
sink13_data[2] => src_payload.IN1
sink13_data[3] => src_payload.IN1
sink13_data[4] => src_payload.IN1
sink13_data[5] => src_payload.IN1
sink13_data[6] => src_payload.IN1
sink13_data[7] => src_payload.IN1
sink13_data[8] => src_payload.IN1
sink13_data[9] => src_payload.IN1
sink13_data[10] => src_payload.IN1
sink13_data[11] => src_payload.IN1
sink13_data[12] => src_payload.IN1
sink13_data[13] => src_payload.IN1
sink13_data[14] => src_payload.IN1
sink13_data[15] => src_payload.IN1
sink13_data[16] => src_payload.IN1
sink13_data[17] => src_payload.IN1
sink13_data[18] => src_payload.IN1
sink13_data[19] => src_payload.IN1
sink13_data[20] => src_payload.IN1
sink13_data[21] => src_payload.IN1
sink13_data[22] => src_payload.IN1
sink13_data[23] => src_payload.IN1
sink13_data[24] => src_payload.IN1
sink13_data[25] => src_payload.IN1
sink13_data[26] => src_payload.IN1
sink13_data[27] => src_payload.IN1
sink13_data[28] => src_payload.IN1
sink13_data[29] => src_payload.IN1
sink13_data[30] => src_payload.IN1
sink13_data[31] => src_payload.IN1
sink13_data[32] => src_payload.IN1
sink13_data[33] => src_payload.IN1
sink13_data[34] => src_payload.IN1
sink13_data[35] => src_payload.IN1
sink13_data[36] => src_payload.IN1
sink13_data[37] => src_payload.IN1
sink13_data[38] => src_payload.IN1
sink13_data[39] => src_payload.IN1
sink13_data[40] => src_payload.IN1
sink13_data[41] => src_payload.IN1
sink13_data[42] => src_payload.IN1
sink13_data[43] => src_payload.IN1
sink13_data[44] => src_payload.IN1
sink13_data[45] => src_payload.IN1
sink13_data[46] => src_payload.IN1
sink13_data[47] => src_payload.IN1
sink13_data[48] => src_payload.IN1
sink13_data[49] => src_payload.IN1
sink13_data[50] => src_payload.IN1
sink13_data[51] => src_payload.IN1
sink13_data[52] => src_payload.IN1
sink13_data[53] => src_payload.IN1
sink13_data[54] => src_payload.IN1
sink13_data[55] => src_payload.IN1
sink13_data[56] => src_payload.IN1
sink13_data[57] => src_payload.IN1
sink13_data[58] => src_payload.IN1
sink13_data[59] => src_payload.IN1
sink13_data[60] => src_payload.IN1
sink13_data[61] => src_payload.IN1
sink13_data[62] => src_payload.IN1
sink13_data[63] => src_payload.IN1
sink13_data[64] => src_payload.IN1
sink13_data[65] => src_payload.IN1
sink13_data[66] => src_payload.IN1
sink13_data[67] => src_payload.IN1
sink13_data[68] => src_payload.IN1
sink13_data[69] => src_payload.IN1
sink13_data[70] => src_payload.IN1
sink13_data[70] => last_cycle.IN1
sink13_data[71] => src_payload.IN1
sink13_data[72] => src_payload.IN1
sink13_data[73] => src_payload.IN1
sink13_data[74] => src_payload.IN1
sink13_data[75] => src_payload.IN1
sink13_data[76] => src_payload.IN1
sink13_data[77] => src_payload.IN1
sink13_data[78] => src_payload.IN1
sink13_data[79] => src_payload.IN1
sink13_data[80] => src_payload.IN1
sink13_data[81] => src_payload.IN1
sink13_data[82] => src_payload.IN1
sink13_data[83] => src_payload.IN1
sink13_data[84] => src_payload.IN1
sink13_data[85] => src_payload.IN1
sink13_data[86] => src_payload.IN1
sink13_data[87] => src_payload.IN1
sink13_data[88] => src_payload.IN1
sink13_data[89] => src_payload.IN1
sink13_data[90] => src_payload.IN1
sink13_data[91] => src_payload.IN1
sink13_data[92] => src_payload.IN1
sink13_data[93] => src_payload.IN1
sink13_data[94] => src_payload.IN1
sink13_data[95] => src_payload.IN1
sink13_data[96] => src_payload.IN1
sink13_data[97] => src_payload.IN1
sink13_data[98] => src_payload.IN1
sink13_data[99] => src_payload.IN1
sink13_data[100] => src_payload.IN1
sink13_data[101] => src_payload.IN1
sink13_data[102] => src_payload.IN1
sink13_data[103] => src_payload.IN1
sink13_data[104] => src_payload.IN1
sink13_data[105] => src_payload.IN1
sink13_data[106] => src_payload.IN1
sink13_data[107] => src_payload.IN1
sink13_data[108] => src_payload.IN1
sink13_data[109] => src_payload.IN1
sink13_data[110] => src_payload.IN1
sink13_data[111] => src_payload.IN1
sink13_data[112] => src_payload.IN1
sink13_data[113] => src_payload.IN1
sink13_data[114] => src_payload.IN1
sink13_data[115] => src_payload.IN1
sink13_data[116] => src_payload.IN1
sink13_data[117] => src_payload.IN1
sink13_data[118] => src_payload.IN1
sink13_data[119] => src_payload.IN1
sink13_data[120] => src_payload.IN1
sink13_data[121] => src_payload.IN1
sink13_data[122] => src_payload.IN1
sink13_data[123] => src_payload.IN1
sink13_data[124] => src_payload.IN1
sink13_data[125] => src_payload.IN1
sink13_data[126] => src_payload.IN1
sink13_data[127] => src_payload.IN1
sink13_data[128] => src_payload.IN1
sink13_channel[0] => src_payload.IN1
sink13_channel[1] => src_payload.IN1
sink13_channel[2] => src_payload.IN1
sink13_channel[3] => src_payload.IN1
sink13_channel[4] => src_payload.IN1
sink13_channel[5] => src_payload.IN1
sink13_channel[6] => src_payload.IN1
sink13_channel[7] => src_payload.IN1
sink13_channel[8] => src_payload.IN1
sink13_channel[9] => src_payload.IN1
sink13_channel[10] => src_payload.IN1
sink13_channel[11] => src_payload.IN1
sink13_channel[12] => src_payload.IN1
sink13_channel[13] => src_payload.IN1
sink13_channel[14] => src_payload.IN1
sink13_channel[15] => src_payload.IN1
sink13_channel[16] => src_payload.IN1
sink13_channel[17] => src_payload.IN1
sink13_channel[18] => src_payload.IN1
sink13_channel[19] => src_payload.IN1
sink13_channel[20] => src_payload.IN1
sink13_channel[21] => src_payload.IN1
sink13_startofpacket => src_payload.IN1
sink13_endofpacket => src_payload.IN1
sink13_ready <= sink13_ready.DB_MAX_OUTPUT_PORT_TYPE
sink14_valid => request[14].IN1
sink14_data[0] => src_payload.IN1
sink14_data[1] => src_payload.IN1
sink14_data[2] => src_payload.IN1
sink14_data[3] => src_payload.IN1
sink14_data[4] => src_payload.IN1
sink14_data[5] => src_payload.IN1
sink14_data[6] => src_payload.IN1
sink14_data[7] => src_payload.IN1
sink14_data[8] => src_payload.IN1
sink14_data[9] => src_payload.IN1
sink14_data[10] => src_payload.IN1
sink14_data[11] => src_payload.IN1
sink14_data[12] => src_payload.IN1
sink14_data[13] => src_payload.IN1
sink14_data[14] => src_payload.IN1
sink14_data[15] => src_payload.IN1
sink14_data[16] => src_payload.IN1
sink14_data[17] => src_payload.IN1
sink14_data[18] => src_payload.IN1
sink14_data[19] => src_payload.IN1
sink14_data[20] => src_payload.IN1
sink14_data[21] => src_payload.IN1
sink14_data[22] => src_payload.IN1
sink14_data[23] => src_payload.IN1
sink14_data[24] => src_payload.IN1
sink14_data[25] => src_payload.IN1
sink14_data[26] => src_payload.IN1
sink14_data[27] => src_payload.IN1
sink14_data[28] => src_payload.IN1
sink14_data[29] => src_payload.IN1
sink14_data[30] => src_payload.IN1
sink14_data[31] => src_payload.IN1
sink14_data[32] => src_payload.IN1
sink14_data[33] => src_payload.IN1
sink14_data[34] => src_payload.IN1
sink14_data[35] => src_payload.IN1
sink14_data[36] => src_payload.IN1
sink14_data[37] => src_payload.IN1
sink14_data[38] => src_payload.IN1
sink14_data[39] => src_payload.IN1
sink14_data[40] => src_payload.IN1
sink14_data[41] => src_payload.IN1
sink14_data[42] => src_payload.IN1
sink14_data[43] => src_payload.IN1
sink14_data[44] => src_payload.IN1
sink14_data[45] => src_payload.IN1
sink14_data[46] => src_payload.IN1
sink14_data[47] => src_payload.IN1
sink14_data[48] => src_payload.IN1
sink14_data[49] => src_payload.IN1
sink14_data[50] => src_payload.IN1
sink14_data[51] => src_payload.IN1
sink14_data[52] => src_payload.IN1
sink14_data[53] => src_payload.IN1
sink14_data[54] => src_payload.IN1
sink14_data[55] => src_payload.IN1
sink14_data[56] => src_payload.IN1
sink14_data[57] => src_payload.IN1
sink14_data[58] => src_payload.IN1
sink14_data[59] => src_payload.IN1
sink14_data[60] => src_payload.IN1
sink14_data[61] => src_payload.IN1
sink14_data[62] => src_payload.IN1
sink14_data[63] => src_payload.IN1
sink14_data[64] => src_payload.IN1
sink14_data[65] => src_payload.IN1
sink14_data[66] => src_payload.IN1
sink14_data[67] => src_payload.IN1
sink14_data[68] => src_payload.IN1
sink14_data[69] => src_payload.IN1
sink14_data[70] => src_payload.IN1
sink14_data[70] => last_cycle.IN1
sink14_data[71] => src_payload.IN1
sink14_data[72] => src_payload.IN1
sink14_data[73] => src_payload.IN1
sink14_data[74] => src_payload.IN1
sink14_data[75] => src_payload.IN1
sink14_data[76] => src_payload.IN1
sink14_data[77] => src_payload.IN1
sink14_data[78] => src_payload.IN1
sink14_data[79] => src_payload.IN1
sink14_data[80] => src_payload.IN1
sink14_data[81] => src_payload.IN1
sink14_data[82] => src_payload.IN1
sink14_data[83] => src_payload.IN1
sink14_data[84] => src_payload.IN1
sink14_data[85] => src_payload.IN1
sink14_data[86] => src_payload.IN1
sink14_data[87] => src_payload.IN1
sink14_data[88] => src_payload.IN1
sink14_data[89] => src_payload.IN1
sink14_data[90] => src_payload.IN1
sink14_data[91] => src_payload.IN1
sink14_data[92] => src_payload.IN1
sink14_data[93] => src_payload.IN1
sink14_data[94] => src_payload.IN1
sink14_data[95] => src_payload.IN1
sink14_data[96] => src_payload.IN1
sink14_data[97] => src_payload.IN1
sink14_data[98] => src_payload.IN1
sink14_data[99] => src_payload.IN1
sink14_data[100] => src_payload.IN1
sink14_data[101] => src_payload.IN1
sink14_data[102] => src_payload.IN1
sink14_data[103] => src_payload.IN1
sink14_data[104] => src_payload.IN1
sink14_data[105] => src_payload.IN1
sink14_data[106] => src_payload.IN1
sink14_data[107] => src_payload.IN1
sink14_data[108] => src_payload.IN1
sink14_data[109] => src_payload.IN1
sink14_data[110] => src_payload.IN1
sink14_data[111] => src_payload.IN1
sink14_data[112] => src_payload.IN1
sink14_data[113] => src_payload.IN1
sink14_data[114] => src_payload.IN1
sink14_data[115] => src_payload.IN1
sink14_data[116] => src_payload.IN1
sink14_data[117] => src_payload.IN1
sink14_data[118] => src_payload.IN1
sink14_data[119] => src_payload.IN1
sink14_data[120] => src_payload.IN1
sink14_data[121] => src_payload.IN1
sink14_data[122] => src_payload.IN1
sink14_data[123] => src_payload.IN1
sink14_data[124] => src_payload.IN1
sink14_data[125] => src_payload.IN1
sink14_data[126] => src_payload.IN1
sink14_data[127] => src_payload.IN1
sink14_data[128] => src_payload.IN1
sink14_channel[0] => src_payload.IN1
sink14_channel[1] => src_payload.IN1
sink14_channel[2] => src_payload.IN1
sink14_channel[3] => src_payload.IN1
sink14_channel[4] => src_payload.IN1
sink14_channel[5] => src_payload.IN1
sink14_channel[6] => src_payload.IN1
sink14_channel[7] => src_payload.IN1
sink14_channel[8] => src_payload.IN1
sink14_channel[9] => src_payload.IN1
sink14_channel[10] => src_payload.IN1
sink14_channel[11] => src_payload.IN1
sink14_channel[12] => src_payload.IN1
sink14_channel[13] => src_payload.IN1
sink14_channel[14] => src_payload.IN1
sink14_channel[15] => src_payload.IN1
sink14_channel[16] => src_payload.IN1
sink14_channel[17] => src_payload.IN1
sink14_channel[18] => src_payload.IN1
sink14_channel[19] => src_payload.IN1
sink14_channel[20] => src_payload.IN1
sink14_channel[21] => src_payload.IN1
sink14_startofpacket => src_payload.IN1
sink14_endofpacket => src_payload.IN1
sink14_ready <= sink14_ready.DB_MAX_OUTPUT_PORT_TYPE
sink15_valid => request[15].IN1
sink15_data[0] => src_payload.IN1
sink15_data[1] => src_payload.IN1
sink15_data[2] => src_payload.IN1
sink15_data[3] => src_payload.IN1
sink15_data[4] => src_payload.IN1
sink15_data[5] => src_payload.IN1
sink15_data[6] => src_payload.IN1
sink15_data[7] => src_payload.IN1
sink15_data[8] => src_payload.IN1
sink15_data[9] => src_payload.IN1
sink15_data[10] => src_payload.IN1
sink15_data[11] => src_payload.IN1
sink15_data[12] => src_payload.IN1
sink15_data[13] => src_payload.IN1
sink15_data[14] => src_payload.IN1
sink15_data[15] => src_payload.IN1
sink15_data[16] => src_payload.IN1
sink15_data[17] => src_payload.IN1
sink15_data[18] => src_payload.IN1
sink15_data[19] => src_payload.IN1
sink15_data[20] => src_payload.IN1
sink15_data[21] => src_payload.IN1
sink15_data[22] => src_payload.IN1
sink15_data[23] => src_payload.IN1
sink15_data[24] => src_payload.IN1
sink15_data[25] => src_payload.IN1
sink15_data[26] => src_payload.IN1
sink15_data[27] => src_payload.IN1
sink15_data[28] => src_payload.IN1
sink15_data[29] => src_payload.IN1
sink15_data[30] => src_payload.IN1
sink15_data[31] => src_payload.IN1
sink15_data[32] => src_payload.IN1
sink15_data[33] => src_payload.IN1
sink15_data[34] => src_payload.IN1
sink15_data[35] => src_payload.IN1
sink15_data[36] => src_payload.IN1
sink15_data[37] => src_payload.IN1
sink15_data[38] => src_payload.IN1
sink15_data[39] => src_payload.IN1
sink15_data[40] => src_payload.IN1
sink15_data[41] => src_payload.IN1
sink15_data[42] => src_payload.IN1
sink15_data[43] => src_payload.IN1
sink15_data[44] => src_payload.IN1
sink15_data[45] => src_payload.IN1
sink15_data[46] => src_payload.IN1
sink15_data[47] => src_payload.IN1
sink15_data[48] => src_payload.IN1
sink15_data[49] => src_payload.IN1
sink15_data[50] => src_payload.IN1
sink15_data[51] => src_payload.IN1
sink15_data[52] => src_payload.IN1
sink15_data[53] => src_payload.IN1
sink15_data[54] => src_payload.IN1
sink15_data[55] => src_payload.IN1
sink15_data[56] => src_payload.IN1
sink15_data[57] => src_payload.IN1
sink15_data[58] => src_payload.IN1
sink15_data[59] => src_payload.IN1
sink15_data[60] => src_payload.IN1
sink15_data[61] => src_payload.IN1
sink15_data[62] => src_payload.IN1
sink15_data[63] => src_payload.IN1
sink15_data[64] => src_payload.IN1
sink15_data[65] => src_payload.IN1
sink15_data[66] => src_payload.IN1
sink15_data[67] => src_payload.IN1
sink15_data[68] => src_payload.IN1
sink15_data[69] => src_payload.IN1
sink15_data[70] => src_payload.IN1
sink15_data[70] => last_cycle.IN1
sink15_data[71] => src_payload.IN1
sink15_data[72] => src_payload.IN1
sink15_data[73] => src_payload.IN1
sink15_data[74] => src_payload.IN1
sink15_data[75] => src_payload.IN1
sink15_data[76] => src_payload.IN1
sink15_data[77] => src_payload.IN1
sink15_data[78] => src_payload.IN1
sink15_data[79] => src_payload.IN1
sink15_data[80] => src_payload.IN1
sink15_data[81] => src_payload.IN1
sink15_data[82] => src_payload.IN1
sink15_data[83] => src_payload.IN1
sink15_data[84] => src_payload.IN1
sink15_data[85] => src_payload.IN1
sink15_data[86] => src_payload.IN1
sink15_data[87] => src_payload.IN1
sink15_data[88] => src_payload.IN1
sink15_data[89] => src_payload.IN1
sink15_data[90] => src_payload.IN1
sink15_data[91] => src_payload.IN1
sink15_data[92] => src_payload.IN1
sink15_data[93] => src_payload.IN1
sink15_data[94] => src_payload.IN1
sink15_data[95] => src_payload.IN1
sink15_data[96] => src_payload.IN1
sink15_data[97] => src_payload.IN1
sink15_data[98] => src_payload.IN1
sink15_data[99] => src_payload.IN1
sink15_data[100] => src_payload.IN1
sink15_data[101] => src_payload.IN1
sink15_data[102] => src_payload.IN1
sink15_data[103] => src_payload.IN1
sink15_data[104] => src_payload.IN1
sink15_data[105] => src_payload.IN1
sink15_data[106] => src_payload.IN1
sink15_data[107] => src_payload.IN1
sink15_data[108] => src_payload.IN1
sink15_data[109] => src_payload.IN1
sink15_data[110] => src_payload.IN1
sink15_data[111] => src_payload.IN1
sink15_data[112] => src_payload.IN1
sink15_data[113] => src_payload.IN1
sink15_data[114] => src_payload.IN1
sink15_data[115] => src_payload.IN1
sink15_data[116] => src_payload.IN1
sink15_data[117] => src_payload.IN1
sink15_data[118] => src_payload.IN1
sink15_data[119] => src_payload.IN1
sink15_data[120] => src_payload.IN1
sink15_data[121] => src_payload.IN1
sink15_data[122] => src_payload.IN1
sink15_data[123] => src_payload.IN1
sink15_data[124] => src_payload.IN1
sink15_data[125] => src_payload.IN1
sink15_data[126] => src_payload.IN1
sink15_data[127] => src_payload.IN1
sink15_data[128] => src_payload.IN1
sink15_channel[0] => src_payload.IN1
sink15_channel[1] => src_payload.IN1
sink15_channel[2] => src_payload.IN1
sink15_channel[3] => src_payload.IN1
sink15_channel[4] => src_payload.IN1
sink15_channel[5] => src_payload.IN1
sink15_channel[6] => src_payload.IN1
sink15_channel[7] => src_payload.IN1
sink15_channel[8] => src_payload.IN1
sink15_channel[9] => src_payload.IN1
sink15_channel[10] => src_payload.IN1
sink15_channel[11] => src_payload.IN1
sink15_channel[12] => src_payload.IN1
sink15_channel[13] => src_payload.IN1
sink15_channel[14] => src_payload.IN1
sink15_channel[15] => src_payload.IN1
sink15_channel[16] => src_payload.IN1
sink15_channel[17] => src_payload.IN1
sink15_channel[18] => src_payload.IN1
sink15_channel[19] => src_payload.IN1
sink15_channel[20] => src_payload.IN1
sink15_channel[21] => src_payload.IN1
sink15_startofpacket => src_payload.IN1
sink15_endofpacket => src_payload.IN1
sink15_ready <= sink15_ready.DB_MAX_OUTPUT_PORT_TYPE
sink16_valid => request[16].IN1
sink16_data[0] => src_payload.IN1
sink16_data[1] => src_payload.IN1
sink16_data[2] => src_payload.IN1
sink16_data[3] => src_payload.IN1
sink16_data[4] => src_payload.IN1
sink16_data[5] => src_payload.IN1
sink16_data[6] => src_payload.IN1
sink16_data[7] => src_payload.IN1
sink16_data[8] => src_payload.IN1
sink16_data[9] => src_payload.IN1
sink16_data[10] => src_payload.IN1
sink16_data[11] => src_payload.IN1
sink16_data[12] => src_payload.IN1
sink16_data[13] => src_payload.IN1
sink16_data[14] => src_payload.IN1
sink16_data[15] => src_payload.IN1
sink16_data[16] => src_payload.IN1
sink16_data[17] => src_payload.IN1
sink16_data[18] => src_payload.IN1
sink16_data[19] => src_payload.IN1
sink16_data[20] => src_payload.IN1
sink16_data[21] => src_payload.IN1
sink16_data[22] => src_payload.IN1
sink16_data[23] => src_payload.IN1
sink16_data[24] => src_payload.IN1
sink16_data[25] => src_payload.IN1
sink16_data[26] => src_payload.IN1
sink16_data[27] => src_payload.IN1
sink16_data[28] => src_payload.IN1
sink16_data[29] => src_payload.IN1
sink16_data[30] => src_payload.IN1
sink16_data[31] => src_payload.IN1
sink16_data[32] => src_payload.IN1
sink16_data[33] => src_payload.IN1
sink16_data[34] => src_payload.IN1
sink16_data[35] => src_payload.IN1
sink16_data[36] => src_payload.IN1
sink16_data[37] => src_payload.IN1
sink16_data[38] => src_payload.IN1
sink16_data[39] => src_payload.IN1
sink16_data[40] => src_payload.IN1
sink16_data[41] => src_payload.IN1
sink16_data[42] => src_payload.IN1
sink16_data[43] => src_payload.IN1
sink16_data[44] => src_payload.IN1
sink16_data[45] => src_payload.IN1
sink16_data[46] => src_payload.IN1
sink16_data[47] => src_payload.IN1
sink16_data[48] => src_payload.IN1
sink16_data[49] => src_payload.IN1
sink16_data[50] => src_payload.IN1
sink16_data[51] => src_payload.IN1
sink16_data[52] => src_payload.IN1
sink16_data[53] => src_payload.IN1
sink16_data[54] => src_payload.IN1
sink16_data[55] => src_payload.IN1
sink16_data[56] => src_payload.IN1
sink16_data[57] => src_payload.IN1
sink16_data[58] => src_payload.IN1
sink16_data[59] => src_payload.IN1
sink16_data[60] => src_payload.IN1
sink16_data[61] => src_payload.IN1
sink16_data[62] => src_payload.IN1
sink16_data[63] => src_payload.IN1
sink16_data[64] => src_payload.IN1
sink16_data[65] => src_payload.IN1
sink16_data[66] => src_payload.IN1
sink16_data[67] => src_payload.IN1
sink16_data[68] => src_payload.IN1
sink16_data[69] => src_payload.IN1
sink16_data[70] => src_payload.IN1
sink16_data[70] => last_cycle.IN1
sink16_data[71] => src_payload.IN1
sink16_data[72] => src_payload.IN1
sink16_data[73] => src_payload.IN1
sink16_data[74] => src_payload.IN1
sink16_data[75] => src_payload.IN1
sink16_data[76] => src_payload.IN1
sink16_data[77] => src_payload.IN1
sink16_data[78] => src_payload.IN1
sink16_data[79] => src_payload.IN1
sink16_data[80] => src_payload.IN1
sink16_data[81] => src_payload.IN1
sink16_data[82] => src_payload.IN1
sink16_data[83] => src_payload.IN1
sink16_data[84] => src_payload.IN1
sink16_data[85] => src_payload.IN1
sink16_data[86] => src_payload.IN1
sink16_data[87] => src_payload.IN1
sink16_data[88] => src_payload.IN1
sink16_data[89] => src_payload.IN1
sink16_data[90] => src_payload.IN1
sink16_data[91] => src_payload.IN1
sink16_data[92] => src_payload.IN1
sink16_data[93] => src_payload.IN1
sink16_data[94] => src_payload.IN1
sink16_data[95] => src_payload.IN1
sink16_data[96] => src_payload.IN1
sink16_data[97] => src_payload.IN1
sink16_data[98] => src_payload.IN1
sink16_data[99] => src_payload.IN1
sink16_data[100] => src_payload.IN1
sink16_data[101] => src_payload.IN1
sink16_data[102] => src_payload.IN1
sink16_data[103] => src_payload.IN1
sink16_data[104] => src_payload.IN1
sink16_data[105] => src_payload.IN1
sink16_data[106] => src_payload.IN1
sink16_data[107] => src_payload.IN1
sink16_data[108] => src_payload.IN1
sink16_data[109] => src_payload.IN1
sink16_data[110] => src_payload.IN1
sink16_data[111] => src_payload.IN1
sink16_data[112] => src_payload.IN1
sink16_data[113] => src_payload.IN1
sink16_data[114] => src_payload.IN1
sink16_data[115] => src_payload.IN1
sink16_data[116] => src_payload.IN1
sink16_data[117] => src_payload.IN1
sink16_data[118] => src_payload.IN1
sink16_data[119] => src_payload.IN1
sink16_data[120] => src_payload.IN1
sink16_data[121] => src_payload.IN1
sink16_data[122] => src_payload.IN1
sink16_data[123] => src_payload.IN1
sink16_data[124] => src_payload.IN1
sink16_data[125] => src_payload.IN1
sink16_data[126] => src_payload.IN1
sink16_data[127] => src_payload.IN1
sink16_data[128] => src_payload.IN1
sink16_channel[0] => src_payload.IN1
sink16_channel[1] => src_payload.IN1
sink16_channel[2] => src_payload.IN1
sink16_channel[3] => src_payload.IN1
sink16_channel[4] => src_payload.IN1
sink16_channel[5] => src_payload.IN1
sink16_channel[6] => src_payload.IN1
sink16_channel[7] => src_payload.IN1
sink16_channel[8] => src_payload.IN1
sink16_channel[9] => src_payload.IN1
sink16_channel[10] => src_payload.IN1
sink16_channel[11] => src_payload.IN1
sink16_channel[12] => src_payload.IN1
sink16_channel[13] => src_payload.IN1
sink16_channel[14] => src_payload.IN1
sink16_channel[15] => src_payload.IN1
sink16_channel[16] => src_payload.IN1
sink16_channel[17] => src_payload.IN1
sink16_channel[18] => src_payload.IN1
sink16_channel[19] => src_payload.IN1
sink16_channel[20] => src_payload.IN1
sink16_channel[21] => src_payload.IN1
sink16_startofpacket => src_payload.IN1
sink16_endofpacket => src_payload.IN1
sink16_ready <= sink16_ready.DB_MAX_OUTPUT_PORT_TYPE
sink17_valid => request[17].IN1
sink17_data[0] => src_payload.IN1
sink17_data[1] => src_payload.IN1
sink17_data[2] => src_payload.IN1
sink17_data[3] => src_payload.IN1
sink17_data[4] => src_payload.IN1
sink17_data[5] => src_payload.IN1
sink17_data[6] => src_payload.IN1
sink17_data[7] => src_payload.IN1
sink17_data[8] => src_payload.IN1
sink17_data[9] => src_payload.IN1
sink17_data[10] => src_payload.IN1
sink17_data[11] => src_payload.IN1
sink17_data[12] => src_payload.IN1
sink17_data[13] => src_payload.IN1
sink17_data[14] => src_payload.IN1
sink17_data[15] => src_payload.IN1
sink17_data[16] => src_payload.IN1
sink17_data[17] => src_payload.IN1
sink17_data[18] => src_payload.IN1
sink17_data[19] => src_payload.IN1
sink17_data[20] => src_payload.IN1
sink17_data[21] => src_payload.IN1
sink17_data[22] => src_payload.IN1
sink17_data[23] => src_payload.IN1
sink17_data[24] => src_payload.IN1
sink17_data[25] => src_payload.IN1
sink17_data[26] => src_payload.IN1
sink17_data[27] => src_payload.IN1
sink17_data[28] => src_payload.IN1
sink17_data[29] => src_payload.IN1
sink17_data[30] => src_payload.IN1
sink17_data[31] => src_payload.IN1
sink17_data[32] => src_payload.IN1
sink17_data[33] => src_payload.IN1
sink17_data[34] => src_payload.IN1
sink17_data[35] => src_payload.IN1
sink17_data[36] => src_payload.IN1
sink17_data[37] => src_payload.IN1
sink17_data[38] => src_payload.IN1
sink17_data[39] => src_payload.IN1
sink17_data[40] => src_payload.IN1
sink17_data[41] => src_payload.IN1
sink17_data[42] => src_payload.IN1
sink17_data[43] => src_payload.IN1
sink17_data[44] => src_payload.IN1
sink17_data[45] => src_payload.IN1
sink17_data[46] => src_payload.IN1
sink17_data[47] => src_payload.IN1
sink17_data[48] => src_payload.IN1
sink17_data[49] => src_payload.IN1
sink17_data[50] => src_payload.IN1
sink17_data[51] => src_payload.IN1
sink17_data[52] => src_payload.IN1
sink17_data[53] => src_payload.IN1
sink17_data[54] => src_payload.IN1
sink17_data[55] => src_payload.IN1
sink17_data[56] => src_payload.IN1
sink17_data[57] => src_payload.IN1
sink17_data[58] => src_payload.IN1
sink17_data[59] => src_payload.IN1
sink17_data[60] => src_payload.IN1
sink17_data[61] => src_payload.IN1
sink17_data[62] => src_payload.IN1
sink17_data[63] => src_payload.IN1
sink17_data[64] => src_payload.IN1
sink17_data[65] => src_payload.IN1
sink17_data[66] => src_payload.IN1
sink17_data[67] => src_payload.IN1
sink17_data[68] => src_payload.IN1
sink17_data[69] => src_payload.IN1
sink17_data[70] => src_payload.IN1
sink17_data[70] => last_cycle.IN1
sink17_data[71] => src_payload.IN1
sink17_data[72] => src_payload.IN1
sink17_data[73] => src_payload.IN1
sink17_data[74] => src_payload.IN1
sink17_data[75] => src_payload.IN1
sink17_data[76] => src_payload.IN1
sink17_data[77] => src_payload.IN1
sink17_data[78] => src_payload.IN1
sink17_data[79] => src_payload.IN1
sink17_data[80] => src_payload.IN1
sink17_data[81] => src_payload.IN1
sink17_data[82] => src_payload.IN1
sink17_data[83] => src_payload.IN1
sink17_data[84] => src_payload.IN1
sink17_data[85] => src_payload.IN1
sink17_data[86] => src_payload.IN1
sink17_data[87] => src_payload.IN1
sink17_data[88] => src_payload.IN1
sink17_data[89] => src_payload.IN1
sink17_data[90] => src_payload.IN1
sink17_data[91] => src_payload.IN1
sink17_data[92] => src_payload.IN1
sink17_data[93] => src_payload.IN1
sink17_data[94] => src_payload.IN1
sink17_data[95] => src_payload.IN1
sink17_data[96] => src_payload.IN1
sink17_data[97] => src_payload.IN1
sink17_data[98] => src_payload.IN1
sink17_data[99] => src_payload.IN1
sink17_data[100] => src_payload.IN1
sink17_data[101] => src_payload.IN1
sink17_data[102] => src_payload.IN1
sink17_data[103] => src_payload.IN1
sink17_data[104] => src_payload.IN1
sink17_data[105] => src_payload.IN1
sink17_data[106] => src_payload.IN1
sink17_data[107] => src_payload.IN1
sink17_data[108] => src_payload.IN1
sink17_data[109] => src_payload.IN1
sink17_data[110] => src_payload.IN1
sink17_data[111] => src_payload.IN1
sink17_data[112] => src_payload.IN1
sink17_data[113] => src_payload.IN1
sink17_data[114] => src_payload.IN1
sink17_data[115] => src_payload.IN1
sink17_data[116] => src_payload.IN1
sink17_data[117] => src_payload.IN1
sink17_data[118] => src_payload.IN1
sink17_data[119] => src_payload.IN1
sink17_data[120] => src_payload.IN1
sink17_data[121] => src_payload.IN1
sink17_data[122] => src_payload.IN1
sink17_data[123] => src_payload.IN1
sink17_data[124] => src_payload.IN1
sink17_data[125] => src_payload.IN1
sink17_data[126] => src_payload.IN1
sink17_data[127] => src_payload.IN1
sink17_data[128] => src_payload.IN1
sink17_channel[0] => src_payload.IN1
sink17_channel[1] => src_payload.IN1
sink17_channel[2] => src_payload.IN1
sink17_channel[3] => src_payload.IN1
sink17_channel[4] => src_payload.IN1
sink17_channel[5] => src_payload.IN1
sink17_channel[6] => src_payload.IN1
sink17_channel[7] => src_payload.IN1
sink17_channel[8] => src_payload.IN1
sink17_channel[9] => src_payload.IN1
sink17_channel[10] => src_payload.IN1
sink17_channel[11] => src_payload.IN1
sink17_channel[12] => src_payload.IN1
sink17_channel[13] => src_payload.IN1
sink17_channel[14] => src_payload.IN1
sink17_channel[15] => src_payload.IN1
sink17_channel[16] => src_payload.IN1
sink17_channel[17] => src_payload.IN1
sink17_channel[18] => src_payload.IN1
sink17_channel[19] => src_payload.IN1
sink17_channel[20] => src_payload.IN1
sink17_channel[21] => src_payload.IN1
sink17_startofpacket => src_payload.IN1
sink17_endofpacket => src_payload.IN1
sink17_ready <= sink17_ready.DB_MAX_OUTPUT_PORT_TYPE
sink18_valid => request[18].IN1
sink18_data[0] => src_payload.IN1
sink18_data[1] => src_payload.IN1
sink18_data[2] => src_payload.IN1
sink18_data[3] => src_payload.IN1
sink18_data[4] => src_payload.IN1
sink18_data[5] => src_payload.IN1
sink18_data[6] => src_payload.IN1
sink18_data[7] => src_payload.IN1
sink18_data[8] => src_payload.IN1
sink18_data[9] => src_payload.IN1
sink18_data[10] => src_payload.IN1
sink18_data[11] => src_payload.IN1
sink18_data[12] => src_payload.IN1
sink18_data[13] => src_payload.IN1
sink18_data[14] => src_payload.IN1
sink18_data[15] => src_payload.IN1
sink18_data[16] => src_payload.IN1
sink18_data[17] => src_payload.IN1
sink18_data[18] => src_payload.IN1
sink18_data[19] => src_payload.IN1
sink18_data[20] => src_payload.IN1
sink18_data[21] => src_payload.IN1
sink18_data[22] => src_payload.IN1
sink18_data[23] => src_payload.IN1
sink18_data[24] => src_payload.IN1
sink18_data[25] => src_payload.IN1
sink18_data[26] => src_payload.IN1
sink18_data[27] => src_payload.IN1
sink18_data[28] => src_payload.IN1
sink18_data[29] => src_payload.IN1
sink18_data[30] => src_payload.IN1
sink18_data[31] => src_payload.IN1
sink18_data[32] => src_payload.IN1
sink18_data[33] => src_payload.IN1
sink18_data[34] => src_payload.IN1
sink18_data[35] => src_payload.IN1
sink18_data[36] => src_payload.IN1
sink18_data[37] => src_payload.IN1
sink18_data[38] => src_payload.IN1
sink18_data[39] => src_payload.IN1
sink18_data[40] => src_payload.IN1
sink18_data[41] => src_payload.IN1
sink18_data[42] => src_payload.IN1
sink18_data[43] => src_payload.IN1
sink18_data[44] => src_payload.IN1
sink18_data[45] => src_payload.IN1
sink18_data[46] => src_payload.IN1
sink18_data[47] => src_payload.IN1
sink18_data[48] => src_payload.IN1
sink18_data[49] => src_payload.IN1
sink18_data[50] => src_payload.IN1
sink18_data[51] => src_payload.IN1
sink18_data[52] => src_payload.IN1
sink18_data[53] => src_payload.IN1
sink18_data[54] => src_payload.IN1
sink18_data[55] => src_payload.IN1
sink18_data[56] => src_payload.IN1
sink18_data[57] => src_payload.IN1
sink18_data[58] => src_payload.IN1
sink18_data[59] => src_payload.IN1
sink18_data[60] => src_payload.IN1
sink18_data[61] => src_payload.IN1
sink18_data[62] => src_payload.IN1
sink18_data[63] => src_payload.IN1
sink18_data[64] => src_payload.IN1
sink18_data[65] => src_payload.IN1
sink18_data[66] => src_payload.IN1
sink18_data[67] => src_payload.IN1
sink18_data[68] => src_payload.IN1
sink18_data[69] => src_payload.IN1
sink18_data[70] => src_payload.IN1
sink18_data[70] => last_cycle.IN1
sink18_data[71] => src_payload.IN1
sink18_data[72] => src_payload.IN1
sink18_data[73] => src_payload.IN1
sink18_data[74] => src_payload.IN1
sink18_data[75] => src_payload.IN1
sink18_data[76] => src_payload.IN1
sink18_data[77] => src_payload.IN1
sink18_data[78] => src_payload.IN1
sink18_data[79] => src_payload.IN1
sink18_data[80] => src_payload.IN1
sink18_data[81] => src_payload.IN1
sink18_data[82] => src_payload.IN1
sink18_data[83] => src_payload.IN1
sink18_data[84] => src_payload.IN1
sink18_data[85] => src_payload.IN1
sink18_data[86] => src_payload.IN1
sink18_data[87] => src_payload.IN1
sink18_data[88] => src_payload.IN1
sink18_data[89] => src_payload.IN1
sink18_data[90] => src_payload.IN1
sink18_data[91] => src_payload.IN1
sink18_data[92] => src_payload.IN1
sink18_data[93] => src_payload.IN1
sink18_data[94] => src_payload.IN1
sink18_data[95] => src_payload.IN1
sink18_data[96] => src_payload.IN1
sink18_data[97] => src_payload.IN1
sink18_data[98] => src_payload.IN1
sink18_data[99] => src_payload.IN1
sink18_data[100] => src_payload.IN1
sink18_data[101] => src_payload.IN1
sink18_data[102] => src_payload.IN1
sink18_data[103] => src_payload.IN1
sink18_data[104] => src_payload.IN1
sink18_data[105] => src_payload.IN1
sink18_data[106] => src_payload.IN1
sink18_data[107] => src_payload.IN1
sink18_data[108] => src_payload.IN1
sink18_data[109] => src_payload.IN1
sink18_data[110] => src_payload.IN1
sink18_data[111] => src_payload.IN1
sink18_data[112] => src_payload.IN1
sink18_data[113] => src_payload.IN1
sink18_data[114] => src_payload.IN1
sink18_data[115] => src_payload.IN1
sink18_data[116] => src_payload.IN1
sink18_data[117] => src_payload.IN1
sink18_data[118] => src_payload.IN1
sink18_data[119] => src_payload.IN1
sink18_data[120] => src_payload.IN1
sink18_data[121] => src_payload.IN1
sink18_data[122] => src_payload.IN1
sink18_data[123] => src_payload.IN1
sink18_data[124] => src_payload.IN1
sink18_data[125] => src_payload.IN1
sink18_data[126] => src_payload.IN1
sink18_data[127] => src_payload.IN1
sink18_data[128] => src_payload.IN1
sink18_channel[0] => src_payload.IN1
sink18_channel[1] => src_payload.IN1
sink18_channel[2] => src_payload.IN1
sink18_channel[3] => src_payload.IN1
sink18_channel[4] => src_payload.IN1
sink18_channel[5] => src_payload.IN1
sink18_channel[6] => src_payload.IN1
sink18_channel[7] => src_payload.IN1
sink18_channel[8] => src_payload.IN1
sink18_channel[9] => src_payload.IN1
sink18_channel[10] => src_payload.IN1
sink18_channel[11] => src_payload.IN1
sink18_channel[12] => src_payload.IN1
sink18_channel[13] => src_payload.IN1
sink18_channel[14] => src_payload.IN1
sink18_channel[15] => src_payload.IN1
sink18_channel[16] => src_payload.IN1
sink18_channel[17] => src_payload.IN1
sink18_channel[18] => src_payload.IN1
sink18_channel[19] => src_payload.IN1
sink18_channel[20] => src_payload.IN1
sink18_channel[21] => src_payload.IN1
sink18_startofpacket => src_payload.IN1
sink18_endofpacket => src_payload.IN1
sink18_ready <= sink18_ready.DB_MAX_OUTPUT_PORT_TYPE
sink19_valid => request[19].IN1
sink19_data[0] => src_payload.IN1
sink19_data[1] => src_payload.IN1
sink19_data[2] => src_payload.IN1
sink19_data[3] => src_payload.IN1
sink19_data[4] => src_payload.IN1
sink19_data[5] => src_payload.IN1
sink19_data[6] => src_payload.IN1
sink19_data[7] => src_payload.IN1
sink19_data[8] => src_payload.IN1
sink19_data[9] => src_payload.IN1
sink19_data[10] => src_payload.IN1
sink19_data[11] => src_payload.IN1
sink19_data[12] => src_payload.IN1
sink19_data[13] => src_payload.IN1
sink19_data[14] => src_payload.IN1
sink19_data[15] => src_payload.IN1
sink19_data[16] => src_payload.IN1
sink19_data[17] => src_payload.IN1
sink19_data[18] => src_payload.IN1
sink19_data[19] => src_payload.IN1
sink19_data[20] => src_payload.IN1
sink19_data[21] => src_payload.IN1
sink19_data[22] => src_payload.IN1
sink19_data[23] => src_payload.IN1
sink19_data[24] => src_payload.IN1
sink19_data[25] => src_payload.IN1
sink19_data[26] => src_payload.IN1
sink19_data[27] => src_payload.IN1
sink19_data[28] => src_payload.IN1
sink19_data[29] => src_payload.IN1
sink19_data[30] => src_payload.IN1
sink19_data[31] => src_payload.IN1
sink19_data[32] => src_payload.IN1
sink19_data[33] => src_payload.IN1
sink19_data[34] => src_payload.IN1
sink19_data[35] => src_payload.IN1
sink19_data[36] => src_payload.IN1
sink19_data[37] => src_payload.IN1
sink19_data[38] => src_payload.IN1
sink19_data[39] => src_payload.IN1
sink19_data[40] => src_payload.IN1
sink19_data[41] => src_payload.IN1
sink19_data[42] => src_payload.IN1
sink19_data[43] => src_payload.IN1
sink19_data[44] => src_payload.IN1
sink19_data[45] => src_payload.IN1
sink19_data[46] => src_payload.IN1
sink19_data[47] => src_payload.IN1
sink19_data[48] => src_payload.IN1
sink19_data[49] => src_payload.IN1
sink19_data[50] => src_payload.IN1
sink19_data[51] => src_payload.IN1
sink19_data[52] => src_payload.IN1
sink19_data[53] => src_payload.IN1
sink19_data[54] => src_payload.IN1
sink19_data[55] => src_payload.IN1
sink19_data[56] => src_payload.IN1
sink19_data[57] => src_payload.IN1
sink19_data[58] => src_payload.IN1
sink19_data[59] => src_payload.IN1
sink19_data[60] => src_payload.IN1
sink19_data[61] => src_payload.IN1
sink19_data[62] => src_payload.IN1
sink19_data[63] => src_payload.IN1
sink19_data[64] => src_payload.IN1
sink19_data[65] => src_payload.IN1
sink19_data[66] => src_payload.IN1
sink19_data[67] => src_payload.IN1
sink19_data[68] => src_payload.IN1
sink19_data[69] => src_payload.IN1
sink19_data[70] => src_payload.IN1
sink19_data[70] => last_cycle.IN1
sink19_data[71] => src_payload.IN1
sink19_data[72] => src_payload.IN1
sink19_data[73] => src_payload.IN1
sink19_data[74] => src_payload.IN1
sink19_data[75] => src_payload.IN1
sink19_data[76] => src_payload.IN1
sink19_data[77] => src_payload.IN1
sink19_data[78] => src_payload.IN1
sink19_data[79] => src_payload.IN1
sink19_data[80] => src_payload.IN1
sink19_data[81] => src_payload.IN1
sink19_data[82] => src_payload.IN1
sink19_data[83] => src_payload.IN1
sink19_data[84] => src_payload.IN1
sink19_data[85] => src_payload.IN1
sink19_data[86] => src_payload.IN1
sink19_data[87] => src_payload.IN1
sink19_data[88] => src_payload.IN1
sink19_data[89] => src_payload.IN1
sink19_data[90] => src_payload.IN1
sink19_data[91] => src_payload.IN1
sink19_data[92] => src_payload.IN1
sink19_data[93] => src_payload.IN1
sink19_data[94] => src_payload.IN1
sink19_data[95] => src_payload.IN1
sink19_data[96] => src_payload.IN1
sink19_data[97] => src_payload.IN1
sink19_data[98] => src_payload.IN1
sink19_data[99] => src_payload.IN1
sink19_data[100] => src_payload.IN1
sink19_data[101] => src_payload.IN1
sink19_data[102] => src_payload.IN1
sink19_data[103] => src_payload.IN1
sink19_data[104] => src_payload.IN1
sink19_data[105] => src_payload.IN1
sink19_data[106] => src_payload.IN1
sink19_data[107] => src_payload.IN1
sink19_data[108] => src_payload.IN1
sink19_data[109] => src_payload.IN1
sink19_data[110] => src_payload.IN1
sink19_data[111] => src_payload.IN1
sink19_data[112] => src_payload.IN1
sink19_data[113] => src_payload.IN1
sink19_data[114] => src_payload.IN1
sink19_data[115] => src_payload.IN1
sink19_data[116] => src_payload.IN1
sink19_data[117] => src_payload.IN1
sink19_data[118] => src_payload.IN1
sink19_data[119] => src_payload.IN1
sink19_data[120] => src_payload.IN1
sink19_data[121] => src_payload.IN1
sink19_data[122] => src_payload.IN1
sink19_data[123] => src_payload.IN1
sink19_data[124] => src_payload.IN1
sink19_data[125] => src_payload.IN1
sink19_data[126] => src_payload.IN1
sink19_data[127] => src_payload.IN1
sink19_data[128] => src_payload.IN1
sink19_channel[0] => src_payload.IN1
sink19_channel[1] => src_payload.IN1
sink19_channel[2] => src_payload.IN1
sink19_channel[3] => src_payload.IN1
sink19_channel[4] => src_payload.IN1
sink19_channel[5] => src_payload.IN1
sink19_channel[6] => src_payload.IN1
sink19_channel[7] => src_payload.IN1
sink19_channel[8] => src_payload.IN1
sink19_channel[9] => src_payload.IN1
sink19_channel[10] => src_payload.IN1
sink19_channel[11] => src_payload.IN1
sink19_channel[12] => src_payload.IN1
sink19_channel[13] => src_payload.IN1
sink19_channel[14] => src_payload.IN1
sink19_channel[15] => src_payload.IN1
sink19_channel[16] => src_payload.IN1
sink19_channel[17] => src_payload.IN1
sink19_channel[18] => src_payload.IN1
sink19_channel[19] => src_payload.IN1
sink19_channel[20] => src_payload.IN1
sink19_channel[21] => src_payload.IN1
sink19_startofpacket => src_payload.IN1
sink19_endofpacket => src_payload.IN1
sink19_ready <= sink19_ready.DB_MAX_OUTPUT_PORT_TYPE
sink20_valid => request[20].IN1
sink20_data[0] => src_payload.IN1
sink20_data[1] => src_payload.IN1
sink20_data[2] => src_payload.IN1
sink20_data[3] => src_payload.IN1
sink20_data[4] => src_payload.IN1
sink20_data[5] => src_payload.IN1
sink20_data[6] => src_payload.IN1
sink20_data[7] => src_payload.IN1
sink20_data[8] => src_payload.IN1
sink20_data[9] => src_payload.IN1
sink20_data[10] => src_payload.IN1
sink20_data[11] => src_payload.IN1
sink20_data[12] => src_payload.IN1
sink20_data[13] => src_payload.IN1
sink20_data[14] => src_payload.IN1
sink20_data[15] => src_payload.IN1
sink20_data[16] => src_payload.IN1
sink20_data[17] => src_payload.IN1
sink20_data[18] => src_payload.IN1
sink20_data[19] => src_payload.IN1
sink20_data[20] => src_payload.IN1
sink20_data[21] => src_payload.IN1
sink20_data[22] => src_payload.IN1
sink20_data[23] => src_payload.IN1
sink20_data[24] => src_payload.IN1
sink20_data[25] => src_payload.IN1
sink20_data[26] => src_payload.IN1
sink20_data[27] => src_payload.IN1
sink20_data[28] => src_payload.IN1
sink20_data[29] => src_payload.IN1
sink20_data[30] => src_payload.IN1
sink20_data[31] => src_payload.IN1
sink20_data[32] => src_payload.IN1
sink20_data[33] => src_payload.IN1
sink20_data[34] => src_payload.IN1
sink20_data[35] => src_payload.IN1
sink20_data[36] => src_payload.IN1
sink20_data[37] => src_payload.IN1
sink20_data[38] => src_payload.IN1
sink20_data[39] => src_payload.IN1
sink20_data[40] => src_payload.IN1
sink20_data[41] => src_payload.IN1
sink20_data[42] => src_payload.IN1
sink20_data[43] => src_payload.IN1
sink20_data[44] => src_payload.IN1
sink20_data[45] => src_payload.IN1
sink20_data[46] => src_payload.IN1
sink20_data[47] => src_payload.IN1
sink20_data[48] => src_payload.IN1
sink20_data[49] => src_payload.IN1
sink20_data[50] => src_payload.IN1
sink20_data[51] => src_payload.IN1
sink20_data[52] => src_payload.IN1
sink20_data[53] => src_payload.IN1
sink20_data[54] => src_payload.IN1
sink20_data[55] => src_payload.IN1
sink20_data[56] => src_payload.IN1
sink20_data[57] => src_payload.IN1
sink20_data[58] => src_payload.IN1
sink20_data[59] => src_payload.IN1
sink20_data[60] => src_payload.IN1
sink20_data[61] => src_payload.IN1
sink20_data[62] => src_payload.IN1
sink20_data[63] => src_payload.IN1
sink20_data[64] => src_payload.IN1
sink20_data[65] => src_payload.IN1
sink20_data[66] => src_payload.IN1
sink20_data[67] => src_payload.IN1
sink20_data[68] => src_payload.IN1
sink20_data[69] => src_payload.IN1
sink20_data[70] => src_payload.IN1
sink20_data[70] => last_cycle.IN1
sink20_data[71] => src_payload.IN1
sink20_data[72] => src_payload.IN1
sink20_data[73] => src_payload.IN1
sink20_data[74] => src_payload.IN1
sink20_data[75] => src_payload.IN1
sink20_data[76] => src_payload.IN1
sink20_data[77] => src_payload.IN1
sink20_data[78] => src_payload.IN1
sink20_data[79] => src_payload.IN1
sink20_data[80] => src_payload.IN1
sink20_data[81] => src_payload.IN1
sink20_data[82] => src_payload.IN1
sink20_data[83] => src_payload.IN1
sink20_data[84] => src_payload.IN1
sink20_data[85] => src_payload.IN1
sink20_data[86] => src_payload.IN1
sink20_data[87] => src_payload.IN1
sink20_data[88] => src_payload.IN1
sink20_data[89] => src_payload.IN1
sink20_data[90] => src_payload.IN1
sink20_data[91] => src_payload.IN1
sink20_data[92] => src_payload.IN1
sink20_data[93] => src_payload.IN1
sink20_data[94] => src_payload.IN1
sink20_data[95] => src_payload.IN1
sink20_data[96] => src_payload.IN1
sink20_data[97] => src_payload.IN1
sink20_data[98] => src_payload.IN1
sink20_data[99] => src_payload.IN1
sink20_data[100] => src_payload.IN1
sink20_data[101] => src_payload.IN1
sink20_data[102] => src_payload.IN1
sink20_data[103] => src_payload.IN1
sink20_data[104] => src_payload.IN1
sink20_data[105] => src_payload.IN1
sink20_data[106] => src_payload.IN1
sink20_data[107] => src_payload.IN1
sink20_data[108] => src_payload.IN1
sink20_data[109] => src_payload.IN1
sink20_data[110] => src_payload.IN1
sink20_data[111] => src_payload.IN1
sink20_data[112] => src_payload.IN1
sink20_data[113] => src_payload.IN1
sink20_data[114] => src_payload.IN1
sink20_data[115] => src_payload.IN1
sink20_data[116] => src_payload.IN1
sink20_data[117] => src_payload.IN1
sink20_data[118] => src_payload.IN1
sink20_data[119] => src_payload.IN1
sink20_data[120] => src_payload.IN1
sink20_data[121] => src_payload.IN1
sink20_data[122] => src_payload.IN1
sink20_data[123] => src_payload.IN1
sink20_data[124] => src_payload.IN1
sink20_data[125] => src_payload.IN1
sink20_data[126] => src_payload.IN1
sink20_data[127] => src_payload.IN1
sink20_data[128] => src_payload.IN1
sink20_channel[0] => src_payload.IN1
sink20_channel[1] => src_payload.IN1
sink20_channel[2] => src_payload.IN1
sink20_channel[3] => src_payload.IN1
sink20_channel[4] => src_payload.IN1
sink20_channel[5] => src_payload.IN1
sink20_channel[6] => src_payload.IN1
sink20_channel[7] => src_payload.IN1
sink20_channel[8] => src_payload.IN1
sink20_channel[9] => src_payload.IN1
sink20_channel[10] => src_payload.IN1
sink20_channel[11] => src_payload.IN1
sink20_channel[12] => src_payload.IN1
sink20_channel[13] => src_payload.IN1
sink20_channel[14] => src_payload.IN1
sink20_channel[15] => src_payload.IN1
sink20_channel[16] => src_payload.IN1
sink20_channel[17] => src_payload.IN1
sink20_channel[18] => src_payload.IN1
sink20_channel[19] => src_payload.IN1
sink20_channel[20] => src_payload.IN1
sink20_channel[21] => src_payload.IN1
sink20_startofpacket => src_payload.IN1
sink20_endofpacket => src_payload.IN1
sink20_ready <= sink20_ready.DB_MAX_OUTPUT_PORT_TYPE
sink21_valid => request[21].IN1
sink21_data[0] => src_payload.IN1
sink21_data[1] => src_payload.IN1
sink21_data[2] => src_payload.IN1
sink21_data[3] => src_payload.IN1
sink21_data[4] => src_payload.IN1
sink21_data[5] => src_payload.IN1
sink21_data[6] => src_payload.IN1
sink21_data[7] => src_payload.IN1
sink21_data[8] => src_payload.IN1
sink21_data[9] => src_payload.IN1
sink21_data[10] => src_payload.IN1
sink21_data[11] => src_payload.IN1
sink21_data[12] => src_payload.IN1
sink21_data[13] => src_payload.IN1
sink21_data[14] => src_payload.IN1
sink21_data[15] => src_payload.IN1
sink21_data[16] => src_payload.IN1
sink21_data[17] => src_payload.IN1
sink21_data[18] => src_payload.IN1
sink21_data[19] => src_payload.IN1
sink21_data[20] => src_payload.IN1
sink21_data[21] => src_payload.IN1
sink21_data[22] => src_payload.IN1
sink21_data[23] => src_payload.IN1
sink21_data[24] => src_payload.IN1
sink21_data[25] => src_payload.IN1
sink21_data[26] => src_payload.IN1
sink21_data[27] => src_payload.IN1
sink21_data[28] => src_payload.IN1
sink21_data[29] => src_payload.IN1
sink21_data[30] => src_payload.IN1
sink21_data[31] => src_payload.IN1
sink21_data[32] => src_payload.IN1
sink21_data[33] => src_payload.IN1
sink21_data[34] => src_payload.IN1
sink21_data[35] => src_payload.IN1
sink21_data[36] => src_payload.IN1
sink21_data[37] => src_payload.IN1
sink21_data[38] => src_payload.IN1
sink21_data[39] => src_payload.IN1
sink21_data[40] => src_payload.IN1
sink21_data[41] => src_payload.IN1
sink21_data[42] => src_payload.IN1
sink21_data[43] => src_payload.IN1
sink21_data[44] => src_payload.IN1
sink21_data[45] => src_payload.IN1
sink21_data[46] => src_payload.IN1
sink21_data[47] => src_payload.IN1
sink21_data[48] => src_payload.IN1
sink21_data[49] => src_payload.IN1
sink21_data[50] => src_payload.IN1
sink21_data[51] => src_payload.IN1
sink21_data[52] => src_payload.IN1
sink21_data[53] => src_payload.IN1
sink21_data[54] => src_payload.IN1
sink21_data[55] => src_payload.IN1
sink21_data[56] => src_payload.IN1
sink21_data[57] => src_payload.IN1
sink21_data[58] => src_payload.IN1
sink21_data[59] => src_payload.IN1
sink21_data[60] => src_payload.IN1
sink21_data[61] => src_payload.IN1
sink21_data[62] => src_payload.IN1
sink21_data[63] => src_payload.IN1
sink21_data[64] => src_payload.IN1
sink21_data[65] => src_payload.IN1
sink21_data[66] => src_payload.IN1
sink21_data[67] => src_payload.IN1
sink21_data[68] => src_payload.IN1
sink21_data[69] => src_payload.IN1
sink21_data[70] => src_payload.IN1
sink21_data[70] => last_cycle.IN1
sink21_data[71] => src_payload.IN1
sink21_data[72] => src_payload.IN1
sink21_data[73] => src_payload.IN1
sink21_data[74] => src_payload.IN1
sink21_data[75] => src_payload.IN1
sink21_data[76] => src_payload.IN1
sink21_data[77] => src_payload.IN1
sink21_data[78] => src_payload.IN1
sink21_data[79] => src_payload.IN1
sink21_data[80] => src_payload.IN1
sink21_data[81] => src_payload.IN1
sink21_data[82] => src_payload.IN1
sink21_data[83] => src_payload.IN1
sink21_data[84] => src_payload.IN1
sink21_data[85] => src_payload.IN1
sink21_data[86] => src_payload.IN1
sink21_data[87] => src_payload.IN1
sink21_data[88] => src_payload.IN1
sink21_data[89] => src_payload.IN1
sink21_data[90] => src_payload.IN1
sink21_data[91] => src_payload.IN1
sink21_data[92] => src_payload.IN1
sink21_data[93] => src_payload.IN1
sink21_data[94] => src_payload.IN1
sink21_data[95] => src_payload.IN1
sink21_data[96] => src_payload.IN1
sink21_data[97] => src_payload.IN1
sink21_data[98] => src_payload.IN1
sink21_data[99] => src_payload.IN1
sink21_data[100] => src_payload.IN1
sink21_data[101] => src_payload.IN1
sink21_data[102] => src_payload.IN1
sink21_data[103] => src_payload.IN1
sink21_data[104] => src_payload.IN1
sink21_data[105] => src_payload.IN1
sink21_data[106] => src_payload.IN1
sink21_data[107] => src_payload.IN1
sink21_data[108] => src_payload.IN1
sink21_data[109] => src_payload.IN1
sink21_data[110] => src_payload.IN1
sink21_data[111] => src_payload.IN1
sink21_data[112] => src_payload.IN1
sink21_data[113] => src_payload.IN1
sink21_data[114] => src_payload.IN1
sink21_data[115] => src_payload.IN1
sink21_data[116] => src_payload.IN1
sink21_data[117] => src_payload.IN1
sink21_data[118] => src_payload.IN1
sink21_data[119] => src_payload.IN1
sink21_data[120] => src_payload.IN1
sink21_data[121] => src_payload.IN1
sink21_data[122] => src_payload.IN1
sink21_data[123] => src_payload.IN1
sink21_data[124] => src_payload.IN1
sink21_data[125] => src_payload.IN1
sink21_data[126] => src_payload.IN1
sink21_data[127] => src_payload.IN1
sink21_data[128] => src_payload.IN1
sink21_channel[0] => src_payload.IN1
sink21_channel[1] => src_payload.IN1
sink21_channel[2] => src_payload.IN1
sink21_channel[3] => src_payload.IN1
sink21_channel[4] => src_payload.IN1
sink21_channel[5] => src_payload.IN1
sink21_channel[6] => src_payload.IN1
sink21_channel[7] => src_payload.IN1
sink21_channel[8] => src_payload.IN1
sink21_channel[9] => src_payload.IN1
sink21_channel[10] => src_payload.IN1
sink21_channel[11] => src_payload.IN1
sink21_channel[12] => src_payload.IN1
sink21_channel[13] => src_payload.IN1
sink21_channel[14] => src_payload.IN1
sink21_channel[15] => src_payload.IN1
sink21_channel[16] => src_payload.IN1
sink21_channel[17] => src_payload.IN1
sink21_channel[18] => src_payload.IN1
sink21_channel[19] => src_payload.IN1
sink21_channel[20] => src_payload.IN1
sink21_channel[21] => src_payload.IN1
sink21_startofpacket => src_payload.IN1
sink21_endofpacket => src_payload.IN1
sink21_ready <= sink21_ready.DB_MAX_OUTPUT_PORT_TYPE
src_valid <= src_valid.DB_MAX_OUTPUT_PORT_TYPE
src_data[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[22] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[23] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[24] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[25] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[26] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[27] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[28] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[29] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[30] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[31] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[32] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[33] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[34] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[35] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[36] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[37] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[38] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[39] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[40] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[41] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[42] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[43] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[44] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[45] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[46] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[47] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[48] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[49] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[50] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[51] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[52] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[53] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[54] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[55] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[56] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[57] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[58] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[59] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[60] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[61] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[62] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[63] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[64] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[65] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[66] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[67] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[68] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[69] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[70] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[71] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[72] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[73] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[74] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[75] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[76] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[77] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[78] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[79] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[80] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[81] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[82] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[83] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[84] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[85] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[86] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[87] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[88] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[89] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[90] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[91] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[92] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[93] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[94] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[95] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[96] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[97] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[98] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[99] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[100] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[101] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[102] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[103] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[104] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[105] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[106] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[107] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[108] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[109] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[110] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[111] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[112] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[113] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[114] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[115] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[116] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[117] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[118] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[119] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[120] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[121] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[122] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[123] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[124] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[125] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[126] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[127] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_data[128] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[0] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[1] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[2] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[3] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[4] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[5] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[6] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[7] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[8] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[9] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[10] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[11] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[12] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[13] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[14] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[15] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[16] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[17] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[18] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[19] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[20] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_channel[21] <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_startofpacket <= src_payload.DB_MAX_OUTPUT_PORT_TYPE
src_endofpacket <= src_payload[0].DB_MAX_OUTPUT_PORT_TYPE
src_ready => last_cycle.IN0
src_ready => sink0_ready.IN1
src_ready => sink1_ready.IN1
src_ready => sink2_ready.IN1
src_ready => sink3_ready.IN1
src_ready => sink4_ready.IN1
src_ready => sink5_ready.IN1
src_ready => sink6_ready.IN1
src_ready => sink7_ready.IN1
src_ready => sink8_ready.IN1
src_ready => sink9_ready.IN1
src_ready => sink10_ready.IN1
src_ready => sink11_ready.IN1
src_ready => sink12_ready.IN1
src_ready => sink13_ready.IN1
src_ready => sink14_ready.IN1
src_ready => sink15_ready.IN1
src_ready => sink16_ready.IN1
src_ready => sink17_ready.IN1
src_ready => sink18_ready.IN1
src_ready => sink19_ready.IN1
src_ready => sink20_ready.IN1
src_ready => sink21_ready.IN1
clk => clk.IN1
reset => reset.IN1


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux_001|altera_merlin_arbitrator:arb
clk => ~NO_FANOUT~
reset => ~NO_FANOUT~
request[0] => grant[0].DATAIN
request[0] => _.IN1
request[0] => _.IN1
request[1] => grant[1].DATAIN
request[1] => _.IN1
request[1] => _.IN1
request[2] => grant[2].DATAIN
request[2] => _.IN1
request[2] => _.IN1
request[3] => grant[3].DATAIN
request[3] => _.IN1
request[3] => _.IN1
request[4] => grant[4].DATAIN
request[4] => _.IN1
request[4] => _.IN1
request[5] => grant[5].DATAIN
request[5] => _.IN1
request[5] => _.IN1
request[6] => grant[6].DATAIN
request[6] => _.IN1
request[6] => _.IN1
request[7] => grant[7].DATAIN
request[7] => _.IN1
request[7] => _.IN1
request[8] => grant[8].DATAIN
request[8] => _.IN1
request[8] => _.IN1
request[9] => grant[9].DATAIN
request[9] => _.IN1
request[9] => _.IN1
request[10] => grant[10].DATAIN
request[10] => _.IN1
request[10] => _.IN1
request[11] => grant[11].DATAIN
request[11] => _.IN1
request[11] => _.IN1
request[12] => grant[12].DATAIN
request[12] => _.IN1
request[12] => _.IN1
request[13] => grant[13].DATAIN
request[13] => _.IN1
request[13] => _.IN1
request[14] => grant[14].DATAIN
request[14] => _.IN1
request[14] => _.IN1
request[15] => grant[15].DATAIN
request[15] => _.IN1
request[15] => _.IN1
request[16] => grant[16].DATAIN
request[16] => _.IN1
request[16] => _.IN1
request[17] => grant[17].DATAIN
request[17] => _.IN1
request[17] => _.IN1
request[18] => grant[18].DATAIN
request[18] => _.IN1
request[18] => _.IN1
request[19] => grant[19].DATAIN
request[19] => _.IN1
request[19] => _.IN1
request[20] => grant[20].DATAIN
request[20] => _.IN1
request[20] => _.IN1
request[21] => grant[21].DATAIN
request[21] => _.IN1
request[21] => _.IN1
grant[0] <= request[0].DB_MAX_OUTPUT_PORT_TYPE
grant[1] <= request[1].DB_MAX_OUTPUT_PORT_TYPE
grant[2] <= request[2].DB_MAX_OUTPUT_PORT_TYPE
grant[3] <= request[3].DB_MAX_OUTPUT_PORT_TYPE
grant[4] <= request[4].DB_MAX_OUTPUT_PORT_TYPE
grant[5] <= request[5].DB_MAX_OUTPUT_PORT_TYPE
grant[6] <= request[6].DB_MAX_OUTPUT_PORT_TYPE
grant[7] <= request[7].DB_MAX_OUTPUT_PORT_TYPE
grant[8] <= request[8].DB_MAX_OUTPUT_PORT_TYPE
grant[9] <= request[9].DB_MAX_OUTPUT_PORT_TYPE
grant[10] <= request[10].DB_MAX_OUTPUT_PORT_TYPE
grant[11] <= request[11].DB_MAX_OUTPUT_PORT_TYPE
grant[12] <= request[12].DB_MAX_OUTPUT_PORT_TYPE
grant[13] <= request[13].DB_MAX_OUTPUT_PORT_TYPE
grant[14] <= request[14].DB_MAX_OUTPUT_PORT_TYPE
grant[15] <= request[15].DB_MAX_OUTPUT_PORT_TYPE
grant[16] <= request[16].DB_MAX_OUTPUT_PORT_TYPE
grant[17] <= request[17].DB_MAX_OUTPUT_PORT_TYPE
grant[18] <= request[18].DB_MAX_OUTPUT_PORT_TYPE
grant[19] <= request[19].DB_MAX_OUTPUT_PORT_TYPE
grant[20] <= request[20].DB_MAX_OUTPUT_PORT_TYPE
grant[21] <= request[21].DB_MAX_OUTPUT_PORT_TYPE
increment_top_priority => ~NO_FANOUT~
save_top_priority => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_rsp_mux:rsp_mux_001|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder
a[0] => Add0.IN44
a[1] => Add0.IN43
a[2] => Add0.IN42
a[3] => Add0.IN41
a[4] => Add0.IN40
a[5] => Add0.IN39
a[6] => Add0.IN38
a[7] => Add0.IN37
a[8] => Add0.IN36
a[9] => Add0.IN35
a[10] => Add0.IN34
a[11] => Add0.IN33
a[12] => Add0.IN32
a[13] => Add0.IN31
a[14] => Add0.IN30
a[15] => Add0.IN29
a[16] => Add0.IN28
a[17] => Add0.IN27
a[18] => Add0.IN26
a[19] => Add0.IN25
a[20] => Add0.IN24
a[21] => Add0.IN23
a[22] => Add0.IN22
a[23] => Add0.IN21
a[24] => Add0.IN20
a[25] => Add0.IN19
a[26] => Add0.IN18
a[27] => Add0.IN17
a[28] => Add0.IN16
a[29] => Add0.IN15
a[30] => Add0.IN14
a[31] => Add0.IN13
a[32] => Add0.IN12
a[33] => Add0.IN11
a[34] => Add0.IN10
a[35] => Add0.IN9
a[36] => Add0.IN8
a[37] => Add0.IN7
a[38] => Add0.IN6
a[39] => Add0.IN5
a[40] => Add0.IN4
a[41] => Add0.IN3
a[42] => Add0.IN2
a[43] => Add0.IN1
b[0] => Add0.IN88
b[1] => Add0.IN87
b[2] => Add0.IN86
b[3] => Add0.IN85
b[4] => Add0.IN84
b[5] => Add0.IN83
b[6] => Add0.IN82
b[7] => Add0.IN81
b[8] => Add0.IN80
b[9] => Add0.IN79
b[10] => Add0.IN78
b[11] => Add0.IN77
b[12] => Add0.IN76
b[13] => Add0.IN75
b[14] => Add0.IN74
b[15] => Add0.IN73
b[16] => Add0.IN72
b[17] => Add0.IN71
b[18] => Add0.IN70
b[19] => Add0.IN69
b[20] => Add0.IN68
b[21] => Add0.IN67
b[22] => Add0.IN66
b[23] => Add0.IN65
b[24] => Add0.IN64
b[25] => Add0.IN63
b[26] => Add0.IN62
b[27] => Add0.IN61
b[28] => Add0.IN60
b[29] => Add0.IN59
b[30] => Add0.IN58
b[31] => Add0.IN57
b[32] => Add0.IN56
b[33] => Add0.IN55
b[34] => Add0.IN54
b[35] => Add0.IN53
b[36] => Add0.IN52
b[37] => Add0.IN51
b[38] => Add0.IN50
b[39] => Add0.IN49
b[40] => Add0.IN48
b[41] => Add0.IN47
b[42] => Add0.IN46
b[43] => Add0.IN45
sum[0] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[1] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[2] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[3] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[4] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[5] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[6] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[7] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[8] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[9] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[10] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[11] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[12] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[13] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[14] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[15] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[16] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[17] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[18] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[19] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[20] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[21] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[22] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[23] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[24] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[25] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[26] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[27] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[28] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[29] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[30] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[31] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[32] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[33] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[34] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[35] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[36] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[37] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[38] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[39] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[40] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[41] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[42] <= Add0.DB_MAX_OUTPUT_PORT_TYPE
sum[43] <= Add0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_001
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_001|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_002
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_002|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_003
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_003|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_004
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_004|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_005
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_005|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_006
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_006|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_007
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_007|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_008
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_008|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_009
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_009|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_010
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_010|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_011
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_011|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_012
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_012|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_013
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_013|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_014
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_014|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_015
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_015|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_016
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_016|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_017
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_017|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_018
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_018|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_019
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_019|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_020
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_020|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_021
in_clk_0_clk => in_clk_0_clk.IN1
in_rst_0_reset => _.IN1
in_0_data[0] => in_0_data[0].IN1
in_0_data[1] => in_0_data[1].IN1
in_0_data[2] => in_0_data[2].IN1
in_0_data[3] => in_0_data[3].IN1
in_0_data[4] => in_0_data[4].IN1
in_0_data[5] => in_0_data[5].IN1
in_0_data[6] => in_0_data[6].IN1
in_0_data[7] => in_0_data[7].IN1
in_0_data[8] => in_0_data[8].IN1
in_0_data[9] => in_0_data[9].IN1
in_0_data[10] => in_0_data[10].IN1
in_0_data[11] => in_0_data[11].IN1
in_0_data[12] => in_0_data[12].IN1
in_0_data[13] => in_0_data[13].IN1
in_0_data[14] => in_0_data[14].IN1
in_0_data[15] => in_0_data[15].IN1
in_0_data[16] => in_0_data[16].IN1
in_0_data[17] => in_0_data[17].IN1
in_0_data[18] => in_0_data[18].IN1
in_0_data[19] => in_0_data[19].IN1
in_0_data[20] => in_0_data[20].IN1
in_0_data[21] => in_0_data[21].IN1
in_0_data[22] => in_0_data[22].IN1
in_0_data[23] => in_0_data[23].IN1
in_0_data[24] => in_0_data[24].IN1
in_0_data[25] => in_0_data[25].IN1
in_0_data[26] => in_0_data[26].IN1
in_0_data[27] => in_0_data[27].IN1
in_0_data[28] => in_0_data[28].IN1
in_0_data[29] => in_0_data[29].IN1
in_0_data[30] => in_0_data[30].IN1
in_0_data[31] => in_0_data[31].IN1
in_0_data[32] => in_0_data[32].IN1
in_0_data[33] => in_0_data[33].IN1
in_0_valid => in_0_valid.IN1
in_0_ready <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.in_ready
out_0_data[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[1] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[2] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[3] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[4] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[5] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[6] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[7] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[8] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[9] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[10] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[11] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[12] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[13] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[14] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[15] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[16] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[17] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[18] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[19] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[20] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[21] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[22] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[23] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[24] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[25] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[26] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[27] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[28] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[29] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[30] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[31] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[32] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_data[33] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_data
out_0_valid <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_valid
out_0_ready => out_0_ready.IN1
out_0_error[0] <= ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0.out_error


|SPW_ULIGHT_FIFO|ulight_fifo:u0|ulight_fifo_mm_interconnect_0:mm_interconnect_0|ulight_fifo_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter_021|ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0
in_ready <= out_ready.DB_MAX_OUTPUT_PORT_TYPE
in_valid => out_valid.DATAIN
in_data[0] => out_data[0].DATAIN
in_data[1] => out_data[1].DATAIN
in_data[2] => out_data[2].DATAIN
in_data[3] => out_data[3].DATAIN
in_data[4] => out_data[4].DATAIN
in_data[5] => out_data[5].DATAIN
in_data[6] => out_data[6].DATAIN
in_data[7] => out_data[7].DATAIN
in_data[8] => out_data[8].DATAIN
in_data[9] => out_data[9].DATAIN
in_data[10] => out_data[10].DATAIN
in_data[11] => out_data[11].DATAIN
in_data[12] => out_data[12].DATAIN
in_data[13] => out_data[13].DATAIN
in_data[14] => out_data[14].DATAIN
in_data[15] => out_data[15].DATAIN
in_data[16] => out_data[16].DATAIN
in_data[17] => out_data[17].DATAIN
in_data[18] => out_data[18].DATAIN
in_data[19] => out_data[19].DATAIN
in_data[20] => out_data[20].DATAIN
in_data[21] => out_data[21].DATAIN
in_data[22] => out_data[22].DATAIN
in_data[23] => out_data[23].DATAIN
in_data[24] => out_data[24].DATAIN
in_data[25] => out_data[25].DATAIN
in_data[26] => out_data[26].DATAIN
in_data[27] => out_data[27].DATAIN
in_data[28] => out_data[28].DATAIN
in_data[29] => out_data[29].DATAIN
in_data[30] => out_data[30].DATAIN
in_data[31] => out_data[31].DATAIN
in_data[32] => out_data[32].DATAIN
in_data[33] => out_data[33].DATAIN
out_ready => in_ready.DATAIN
out_valid <= in_valid.DB_MAX_OUTPUT_PORT_TYPE
out_data[0] <= in_data[0].DB_MAX_OUTPUT_PORT_TYPE
out_data[1] <= in_data[1].DB_MAX_OUTPUT_PORT_TYPE
out_data[2] <= in_data[2].DB_MAX_OUTPUT_PORT_TYPE
out_data[3] <= in_data[3].DB_MAX_OUTPUT_PORT_TYPE
out_data[4] <= in_data[4].DB_MAX_OUTPUT_PORT_TYPE
out_data[5] <= in_data[5].DB_MAX_OUTPUT_PORT_TYPE
out_data[6] <= in_data[6].DB_MAX_OUTPUT_PORT_TYPE
out_data[7] <= in_data[7].DB_MAX_OUTPUT_PORT_TYPE
out_data[8] <= in_data[8].DB_MAX_OUTPUT_PORT_TYPE
out_data[9] <= in_data[9].DB_MAX_OUTPUT_PORT_TYPE
out_data[10] <= in_data[10].DB_MAX_OUTPUT_PORT_TYPE
out_data[11] <= in_data[11].DB_MAX_OUTPUT_PORT_TYPE
out_data[12] <= in_data[12].DB_MAX_OUTPUT_PORT_TYPE
out_data[13] <= in_data[13].DB_MAX_OUTPUT_PORT_TYPE
out_data[14] <= in_data[14].DB_MAX_OUTPUT_PORT_TYPE
out_data[15] <= in_data[15].DB_MAX_OUTPUT_PORT_TYPE
out_data[16] <= in_data[16].DB_MAX_OUTPUT_PORT_TYPE
out_data[17] <= in_data[17].DB_MAX_OUTPUT_PORT_TYPE
out_data[18] <= in_data[18].DB_MAX_OUTPUT_PORT_TYPE
out_data[19] <= in_data[19].DB_MAX_OUTPUT_PORT_TYPE
out_data[20] <= in_data[20].DB_MAX_OUTPUT_PORT_TYPE
out_data[21] <= in_data[21].DB_MAX_OUTPUT_PORT_TYPE
out_data[22] <= in_data[22].DB_MAX_OUTPUT_PORT_TYPE
out_data[23] <= in_data[23].DB_MAX_OUTPUT_PORT_TYPE
out_data[24] <= in_data[24].DB_MAX_OUTPUT_PORT_TYPE
out_data[25] <= in_data[25].DB_MAX_OUTPUT_PORT_TYPE
out_data[26] <= in_data[26].DB_MAX_OUTPUT_PORT_TYPE
out_data[27] <= in_data[27].DB_MAX_OUTPUT_PORT_TYPE
out_data[28] <= in_data[28].DB_MAX_OUTPUT_PORT_TYPE
out_data[29] <= in_data[29].DB_MAX_OUTPUT_PORT_TYPE
out_data[30] <= in_data[30].DB_MAX_OUTPUT_PORT_TYPE
out_data[31] <= in_data[31].DB_MAX_OUTPUT_PORT_TYPE
out_data[32] <= in_data[32].DB_MAX_OUTPUT_PORT_TYPE
out_data[33] <= in_data[33].DB_MAX_OUTPUT_PORT_TYPE
out_error[0] <= <GND>
clk => ~NO_FANOUT~
reset_n => ~NO_FANOUT~


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller
reset_in0 => merged_reset.IN0
reset_in1 => merged_reset.IN1
reset_in2 => merged_reset.IN1
reset_in3 => merged_reset.IN1
reset_in4 => merged_reset.IN1
reset_in5 => merged_reset.IN1
reset_in6 => merged_reset.IN1
reset_in7 => merged_reset.IN1
reset_in8 => merged_reset.IN1
reset_in9 => merged_reset.IN1
reset_in10 => merged_reset.IN1
reset_in11 => merged_reset.IN1
reset_in12 => merged_reset.IN1
reset_in13 => merged_reset.IN1
reset_in14 => merged_reset.IN1
reset_in15 => merged_reset.IN1
reset_req_in0 => ~NO_FANOUT~
reset_req_in1 => ~NO_FANOUT~
reset_req_in2 => ~NO_FANOUT~
reset_req_in3 => ~NO_FANOUT~
reset_req_in4 => ~NO_FANOUT~
reset_req_in5 => ~NO_FANOUT~
reset_req_in6 => ~NO_FANOUT~
reset_req_in7 => ~NO_FANOUT~
reset_req_in8 => ~NO_FANOUT~
reset_req_in9 => ~NO_FANOUT~
reset_req_in10 => ~NO_FANOUT~
reset_req_in11 => ~NO_FANOUT~
reset_req_in12 => ~NO_FANOUT~
reset_req_in13 => ~NO_FANOUT~
reset_req_in14 => ~NO_FANOUT~
reset_req_in15 => ~NO_FANOUT~
clk => clk.IN2
reset_out <= altera_reset_synchronizer:alt_rst_sync_uq1.reset_out
reset_req <= altera_reset_synchronizer:alt_rst_req_sync_uq1.reset_out


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_sync_uq1
reset_in => altera_reset_synchronizer_int_chain_out.PRESET
reset_in => altera_reset_synchronizer_int_chain[0].PRESET
reset_in => altera_reset_synchronizer_int_chain[1].PRESET
clk => altera_reset_synchronizer_int_chain_out.CLK
clk => altera_reset_synchronizer_int_chain[0].CLK
clk => altera_reset_synchronizer_int_chain[1].CLK
reset_out <= altera_reset_synchronizer_int_chain_out.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_req_sync_uq1
reset_in => altera_reset_synchronizer_int_chain[1].DATAIN
clk => altera_reset_synchronizer_int_chain_out.CLK
clk => altera_reset_synchronizer_int_chain[0].CLK
clk => altera_reset_synchronizer_int_chain[1].CLK
reset_out <= altera_reset_synchronizer_int_chain_out.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller_001
reset_in0 => merged_reset.IN0
reset_in1 => merged_reset.IN1
reset_in2 => merged_reset.IN1
reset_in3 => merged_reset.IN1
reset_in4 => merged_reset.IN1
reset_in5 => merged_reset.IN1
reset_in6 => merged_reset.IN1
reset_in7 => merged_reset.IN1
reset_in8 => merged_reset.IN1
reset_in9 => merged_reset.IN1
reset_in10 => merged_reset.IN1
reset_in11 => merged_reset.IN1
reset_in12 => merged_reset.IN1
reset_in13 => merged_reset.IN1
reset_in14 => merged_reset.IN1
reset_in15 => merged_reset.IN1
reset_req_in0 => ~NO_FANOUT~
reset_req_in1 => ~NO_FANOUT~
reset_req_in2 => ~NO_FANOUT~
reset_req_in3 => ~NO_FANOUT~
reset_req_in4 => ~NO_FANOUT~
reset_req_in5 => ~NO_FANOUT~
reset_req_in6 => ~NO_FANOUT~
reset_req_in7 => ~NO_FANOUT~
reset_req_in8 => ~NO_FANOUT~
reset_req_in9 => ~NO_FANOUT~
reset_req_in10 => ~NO_FANOUT~
reset_req_in11 => ~NO_FANOUT~
reset_req_in12 => ~NO_FANOUT~
reset_req_in13 => ~NO_FANOUT~
reset_req_in14 => ~NO_FANOUT~
reset_req_in15 => ~NO_FANOUT~
clk => clk.IN2
reset_out <= altera_reset_synchronizer:alt_rst_sync_uq1.reset_out
reset_req <= altera_reset_synchronizer:alt_rst_req_sync_uq1.reset_out


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller_001|altera_reset_synchronizer:alt_rst_sync_uq1
reset_in => altera_reset_synchronizer_int_chain_out.PRESET
reset_in => altera_reset_synchronizer_int_chain[0].PRESET
reset_in => altera_reset_synchronizer_int_chain[1].PRESET
clk => altera_reset_synchronizer_int_chain_out.CLK
clk => altera_reset_synchronizer_int_chain[0].CLK
clk => altera_reset_synchronizer_int_chain[1].CLK
reset_out <= altera_reset_synchronizer_int_chain_out.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|ulight_fifo:u0|altera_reset_controller:rst_controller_001|altera_reset_synchronizer:alt_rst_req_sync_uq1
reset_in => altera_reset_synchronizer_int_chain[1].DATAIN
clk => altera_reset_synchronizer_int_chain_out.CLK
clk => altera_reset_synchronizer_int_chain[0].CLK
clk => altera_reset_synchronizer_int_chain[1].CLK
reset_out <= altera_reset_synchronizer_int_chain_out.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP
ppll_100_MHZ => ppll_100_MHZ.IN3
ppllclk => ppllclk.IN1
reset_spw_n_b => reset_spw_n_b.IN1
top_sin => top_sin.IN1
top_din => top_din.IN1
top_auto_start => top_auto_start.IN1
top_link_start => top_link_start.IN1
top_link_disable => top_link_disable.IN1
top_tx_write => top_tx_write.IN1
top_tx_data[0] => top_tx_data[0].IN1
top_tx_data[1] => top_tx_data[1].IN1
top_tx_data[2] => top_tx_data[2].IN1
top_tx_data[3] => top_tx_data[3].IN1
top_tx_data[4] => top_tx_data[4].IN1
top_tx_data[5] => top_tx_data[5].IN1
top_tx_data[6] => top_tx_data[6].IN1
top_tx_data[7] => top_tx_data[7].IN1
top_tx_data[8] => top_tx_data[8].IN1
top_tx_tick => top_tx_tick.IN1
top_tx_time[0] => top_tx_time[0].IN1
top_tx_time[1] => top_tx_time[1].IN1
top_tx_time[2] => top_tx_time[2].IN1
top_tx_time[3] => top_tx_time[3].IN1
top_tx_time[4] => top_tx_time[4].IN1
top_tx_time[5] => top_tx_time[5].IN1
top_tx_time[6] => top_tx_time[6].IN1
top_tx_time[7] => top_tx_time[7].IN1
read_rx_fifo_en => read_rx_fifo_en.IN1
datarx_flag[0] <= fifo_rx:rx_data.data_out
datarx_flag[1] <= fifo_rx:rx_data.data_out
datarx_flag[2] <= fifo_rx:rx_data.data_out
datarx_flag[3] <= fifo_rx:rx_data.data_out
datarx_flag[4] <= fifo_rx:rx_data.data_out
datarx_flag[5] <= fifo_rx:rx_data.data_out
datarx_flag[6] <= fifo_rx:rx_data.data_out
datarx_flag[7] <= fifo_rx:rx_data.data_out
datarx_flag[8] <= fifo_rx:rx_data.data_out
tick_out <= top_spw_ultra_light:SPW.tick_out
time_out[0] <= top_spw_ultra_light:SPW.time_out
time_out[1] <= top_spw_ultra_light:SPW.time_out
time_out[2] <= top_spw_ultra_light:SPW.time_out
time_out[3] <= top_spw_ultra_light:SPW.time_out
time_out[4] <= top_spw_ultra_light:SPW.time_out
time_out[5] <= top_spw_ultra_light:SPW.time_out
time_out[6] <= top_spw_ultra_light:SPW.time_out
time_out[7] <= top_spw_ultra_light:SPW.time_out
top_dout <= top_spw_ultra_light:SPW.top_dout
top_sout <= top_spw_ultra_light:SPW.top_sout
f_full <= fifo_tx:tx_data.f_full
f_empty <= fifo_tx:tx_data.f_empty
f_full_rx <= fifo_rx:rx_data.f_full
f_empty_rx <= fifo_rx:rx_data.f_empty
top_tx_ready_tick <= top_spw_ultra_light:SPW.top_tx_ready_tick
top_fsm[0] <= top_spw_ultra_light:SPW.top_fsm
top_fsm[1] <= top_spw_ultra_light:SPW.top_fsm
top_fsm[2] <= top_spw_ultra_light:SPW.top_fsm
top_fsm[3] <= top_spw_ultra_light:SPW.top_fsm
top_fsm[4] <= top_spw_ultra_light:SPW.top_fsm
top_fsm[5] <= top_spw_ultra_light:SPW.top_fsm
counter_fifo_tx[0] <= fifo_tx:tx_data.counter
counter_fifo_tx[1] <= fifo_tx:tx_data.counter
counter_fifo_tx[2] <= fifo_tx:tx_data.counter
counter_fifo_tx[3] <= fifo_tx:tx_data.counter
counter_fifo_tx[4] <= fifo_tx:tx_data.counter
counter_fifo_tx[5] <= fifo_tx:tx_data.counter
counter_fifo_rx[0] <= fifo_rx:rx_data.counter
counter_fifo_rx[1] <= fifo_rx:rx_data.counter
counter_fifo_rx[2] <= fifo_rx:rx_data.counter
counter_fifo_rx[3] <= fifo_rx:rx_data.counter
counter_fifo_rx[4] <= fifo_rx:rx_data.counter
counter_fifo_rx[5] <= fifo_rx:rx_data.counter


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW
pclk => pclk.IN1
ppllclk => ppllclk.IN1
resetn => resetn.IN1
top_sin => top_sin.IN1
top_din => top_din.IN1
top_auto_start => top_auto_start.IN1
top_link_start => top_link_start.IN1
top_link_disable => top_link_disable.IN1
top_tx_write => top_tx_write.IN1
top_tx_data[0] => top_tx_data[0].IN1
top_tx_data[1] => top_tx_data[1].IN1
top_tx_data[2] => top_tx_data[2].IN1
top_tx_data[3] => top_tx_data[3].IN1
top_tx_data[4] => top_tx_data[4].IN1
top_tx_data[5] => top_tx_data[5].IN1
top_tx_data[6] => top_tx_data[6].IN1
top_tx_data[7] => top_tx_data[7].IN1
top_tx_data[8] => top_tx_data[8].IN1
top_tx_tick => top_tx_tick.IN1
top_tx_time[0] => top_tx_time[0].IN1
top_tx_time[1] => top_tx_time[1].IN1
top_tx_time[2] => top_tx_time[2].IN1
top_tx_time[3] => top_tx_time[3].IN1
top_tx_time[4] => top_tx_time[4].IN1
top_tx_time[5] => top_tx_time[5].IN1
top_tx_time[6] => top_tx_time[6].IN1
top_tx_time[7] => top_tx_time[7].IN1
credit_error_rx => credit_error_rx.IN1
top_send_fct_now => top_send_fct_now.IN1
datarx_flag[0] <= RX_SPW:RX.rx_data_flag
datarx_flag[1] <= RX_SPW:RX.rx_data_flag
datarx_flag[2] <= RX_SPW:RX.rx_data_flag
datarx_flag[3] <= RX_SPW:RX.rx_data_flag
datarx_flag[4] <= RX_SPW:RX.rx_data_flag
datarx_flag[5] <= RX_SPW:RX.rx_data_flag
datarx_flag[6] <= RX_SPW:RX.rx_data_flag
datarx_flag[7] <= RX_SPW:RX.rx_data_flag
datarx_flag[8] <= RX_SPW:RX.rx_data_flag
buffer_write <= RX_SPW:RX.rx_buffer_write
time_out[0] <= RX_SPW:RX.rx_time_out
time_out[1] <= RX_SPW:RX.rx_time_out
time_out[2] <= RX_SPW:RX.rx_time_out
time_out[3] <= RX_SPW:RX.rx_time_out
time_out[4] <= RX_SPW:RX.rx_time_out
time_out[5] <= RX_SPW:RX.rx_time_out
time_out[6] <= RX_SPW:RX.rx_time_out
time_out[7] <= RX_SPW:RX.rx_time_out
tick_out <= RX_SPW:RX.rx_tick_out
top_dout <= TX_SPW:TX.tx_dout_e
top_sout <= TX_SPW:TX.tx_sout_e
top_tx_ready <= TX_SPW:TX.ready_tx_data
top_tx_ready_tick <= TX_SPW:TX.ready_tx_timecode
top_fsm[0] <= FSM_SPW:FSM.fsm_state
top_fsm[1] <= FSM_SPW:FSM.fsm_state
top_fsm[2] <= FSM_SPW:FSM.fsm_state
top_fsm[3] <= FSM_SPW:FSM.fsm_state
top_fsm[4] <= FSM_SPW:FSM.fsm_state
top_fsm[5] <= FSM_SPW:FSM.fsm_state


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|FSM_SPW:FSM
pclk => after850ns[0].CLK
pclk => after850ns[1].CLK
pclk => after850ns[2].CLK
pclk => after850ns[3].CLK
pclk => after850ns[4].CLK
pclk => after850ns[5].CLK
pclk => after850ns[6].CLK
pclk => after850ns[7].CLK
pclk => after850ns[8].CLK
pclk => after850ns[9].CLK
pclk => after850ns[10].CLK
pclk => after850ns[11].CLK
pclk => got_bit_internal.CLK
pclk => after64us[0].CLK
pclk => after64us[1].CLK
pclk => after64us[2].CLK
pclk => after64us[3].CLK
pclk => after64us[4].CLK
pclk => after64us[5].CLK
pclk => after64us[6].CLK
pclk => after64us[7].CLK
pclk => after64us[8].CLK
pclk => after64us[9].CLK
pclk => after64us[10].CLK
pclk => after64us[11].CLK
pclk => after128us[0].CLK
pclk => after128us[1].CLK
pclk => after128us[2].CLK
pclk => after128us[3].CLK
pclk => after128us[4].CLK
pclk => after128us[5].CLK
pclk => after128us[6].CLK
pclk => after128us[7].CLK
pclk => after128us[8].CLK
pclk => after128us[9].CLK
pclk => after128us[10].CLK
pclk => after128us[11].CLK
pclk => get_rx_credit_error_b.CLK
pclk => get_rx_credit_error_a.CLK
pclk => get_rx_got_time_code_b.CLK
pclk => get_rx_got_time_code_a.CLK
pclk => get_rx_got_nchar_b.CLK
pclk => get_rx_got_nchar_a.CLK
pclk => get_rx_got_null_b.CLK
pclk => get_rx_got_null_a.CLK
pclk => get_rx_error_b.CLK
pclk => get_rx_error_a.CLK
pclk => get_rx_got_fct_b.CLK
pclk => get_rx_got_fct_a.CLK
pclk => send_fct_tx~reg0.CLK
pclk => send_null_tx~reg0.CLK
pclk => enable_tx~reg0.CLK
pclk => rx_resetn~reg0.CLK
pclk => state_fsm~1.DATAIN
resetn => got_bit_internal.OUTPUTSELECT
resetn => get_rx_credit_error_b.ACLR
resetn => get_rx_credit_error_a.ACLR
resetn => get_rx_got_time_code_b.ACLR
resetn => get_rx_got_time_code_a.ACLR
resetn => get_rx_got_nchar_b.ACLR
resetn => get_rx_got_nchar_a.ACLR
resetn => get_rx_got_null_b.ACLR
resetn => get_rx_got_null_a.ACLR
resetn => get_rx_error_b.ACLR
resetn => get_rx_error_a.ACLR
resetn => get_rx_got_fct_b.ACLR
resetn => get_rx_got_fct_a.ACLR
resetn => send_fct_tx~reg0.ACLR
resetn => send_null_tx~reg0.ACLR
resetn => enable_tx~reg0.ACLR
resetn => rx_resetn~reg0.ACLR
resetn => always5.IN1
resetn => always2.IN0
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => after64us.OUTPUTSELECT
resetn => state_fsm~3.DATAIN
auto_start => always0.IN1
auto_start => always3.IN0
link_start => always0.IN1
link_start => always3.IN1
link_disable => always0.IN1
link_disable => always0.IN1
rx_error => get_rx_error_b.DATAIN
rx_credit_error => get_rx_credit_error_b.DATAIN
rx_got_bit => always0.IN1
rx_got_bit => got_bit_internal.DATAB
rx_got_null => get_rx_got_null_b.DATAIN
rx_got_nchar => get_rx_got_nchar_b.DATAIN
rx_got_time_code => always0.IN1
rx_got_time_code => get_rx_got_time_code_b.DATAIN
rx_got_fct => get_rx_got_fct_b.DATAIN
rx_resetn <= rx_resetn~reg0.DB_MAX_OUTPUT_PORT_TYPE
enable_tx <= enable_tx~reg0.DB_MAX_OUTPUT_PORT_TYPE
send_null_tx <= send_null_tx~reg0.DB_MAX_OUTPUT_PORT_TYPE
send_fct_tx <= send_fct_tx~reg0.DB_MAX_OUTPUT_PORT_TYPE
fsm_state[0] <= fsm_state[0].DB_MAX_OUTPUT_PORT_TYPE
fsm_state[1] <= fsm_state[1].DB_MAX_OUTPUT_PORT_TYPE
fsm_state[2] <= fsm_state[2].DB_MAX_OUTPUT_PORT_TYPE
fsm_state[3] <= fsm_state[3].DB_MAX_OUTPUT_PORT_TYPE
fsm_state[4] <= fsm_state[4].DB_MAX_OUTPUT_PORT_TYPE
fsm_state[5] <= <GND>


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX
rx_din => rx_din.IN3
rx_sin => comb.IN0
rx_sin => always1.IN0
rx_resetn => rx_resetn.IN8
rx_error <= rx_control_data_rdy:control_data_rdy.rx_error
rx_got_bit <= always1.DB_MAX_OUTPUT_PORT_TYPE
rx_got_null <= rx_buffer_fsm:buffer_fsm.rx_got_null
rx_got_nchar <= rx_buffer_fsm:buffer_fsm.rx_got_nchar
rx_got_time_code <= rx_buffer_fsm:buffer_fsm.rx_got_time_code
rx_got_fct <= rx_data_receive:rx_dtarcv.rx_got_fct
rx_got_fct_fsm <= rx_control_data_rdy:control_data_rdy.rx_got_fct_fsm
rx_data_flag[0] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[1] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[2] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[3] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[4] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[5] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[6] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[7] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_data_flag[8] <= rx_data_receive:rx_dtarcv.rx_data_flag
rx_buffer_write <= rx_data_buffer_data_w:buffer_data_flag.rx_buffer_write
rx_time_out[0] <= rx_time_out[0].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[1] <= rx_time_out[1].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[2] <= rx_time_out[2].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[3] <= rx_time_out[3].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[4] <= rx_time_out[4].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[5] <= rx_time_out[5].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[6] <= rx_time_out[6].DB_MAX_OUTPUT_PORT_TYPE
rx_time_out[7] <= rx_time_out[7].DB_MAX_OUTPUT_PORT_TYPE
rx_tick_out <= rx_data_buffer_data_w:buffer_data_flag.rx_tick_out


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|rx_buffer_fsm:buffer_fsm
posedge_clk => rx_got_time_code~reg0.CLK
posedge_clk => rx_got_nchar~reg0.CLK
posedge_clk => rx_got_null~reg0.CLK
rx_resetn => rx_got_time_code~reg0.ACLR
rx_resetn => rx_got_nchar~reg0.ACLR
rx_resetn => rx_got_null~reg0.ACLR
last_is_data => rx_got_null.OUTPUTSELECT
last_is_data => rx_got_time_code.OUTPUTSELECT
last_is_data => rx_got_nchar~reg0.DATAIN
last_is_timec => rx_got_null.OUTPUTSELECT
last_is_timec => rx_got_time_code.DATAA
last_is_control => rx_got_null.DATAA
rx_got_null <= rx_got_null~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_got_nchar <= rx_got_nchar~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_got_time_code <= rx_got_time_code~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|rx_data_buffer_data_w:buffer_data_flag
negedge_clk => rx_tick_out~reg0.CLK
negedge_clk => rx_buffer_write~reg0.CLK
rx_resetn => rx_tick_out~reg0.ACLR
rx_resetn => rx_buffer_write~reg0.ACLR
state_data_process[0] => Equal0.IN0
state_data_process[1] => Equal0.IN1
control[0] => Equal1.IN2
control[0] => Equal2.IN1
control[1] => Equal1.IN1
control[1] => Equal2.IN2
control[2] => Equal1.IN0
control[2] => Equal2.IN0
last_is_timec => rx_buffer_write.OUTPUTSELECT
last_is_timec => rx_tick_out.DATAB
last_is_data => rx_buffer_write.OUTPUTSELECT
last_is_control => rx_buffer_write.OUTPUTSELECT
rx_buffer_write <= rx_buffer_write~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_tick_out <= rx_tick_out~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|rx_control_data_rdy:control_data_rdy
posedge_clk => rx_error~reg0.CLK
posedge_clk => ready_data_p_r~reg0.CLK
posedge_clk => ready_control_p_r~reg0.CLK
posedge_clk => rx_got_fct_fsm~reg0.CLK
rx_resetn => rx_error~reg0.ACLR
rx_resetn => ready_data_p_r~reg0.ACLR
rx_resetn => ready_control_p_r~reg0.ACLR
rx_resetn => rx_got_fct_fsm~reg0.ACLR
rx_error_c => rx_error.IN0
rx_error_d => rx_error.IN1
control[0] => Equal3.IN2
control[1] => Equal3.IN1
control[2] => Equal3.IN0
control_l_r[0] => Equal2.IN2
control_l_r[1] => Equal2.IN1
control_l_r[2] => Equal2.IN0
is_control => always0.IN1
counter_neg[0] => Equal0.IN5
counter_neg[0] => Equal1.IN5
counter_neg[1] => Equal0.IN4
counter_neg[1] => Equal1.IN4
counter_neg[2] => Equal0.IN0
counter_neg[2] => Equal1.IN3
counter_neg[3] => Equal0.IN3
counter_neg[3] => Equal1.IN2
counter_neg[4] => Equal0.IN2
counter_neg[4] => Equal1.IN1
counter_neg[5] => Equal0.IN1
counter_neg[5] => Equal1.IN0
last_is_control => always0.IN1
rx_error <= rx_error~reg0.DB_MAX_OUTPUT_PORT_TYPE
ready_control_p_r <= ready_control_p_r~reg0.DB_MAX_OUTPUT_PORT_TYPE
ready_data_p_r <= ready_data_p_r~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_got_fct_fsm <= rx_got_fct_fsm~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|rx_data_control_p:data_control
posedge_clk => parity_rec_c_gen~reg0.CLK
posedge_clk => parity_rec_c~reg0.CLK
posedge_clk => control_l_r[0]~reg0.CLK
posedge_clk => control_l_r[1]~reg0.CLK
posedge_clk => control_l_r[2]~reg0.CLK
posedge_clk => control_p_r[0]~reg0.CLK
posedge_clk => control_p_r[1]~reg0.CLK
posedge_clk => control_p_r[2]~reg0.CLK
posedge_clk => dta_timec_p[0]~reg0.CLK
posedge_clk => dta_timec_p[1]~reg0.CLK
posedge_clk => dta_timec_p[2]~reg0.CLK
posedge_clk => dta_timec_p[3]~reg0.CLK
posedge_clk => dta_timec_p[4]~reg0.CLK
posedge_clk => dta_timec_p[5]~reg0.CLK
posedge_clk => dta_timec_p[6]~reg0.CLK
posedge_clk => dta_timec_p[7]~reg0.CLK
posedge_clk => dta_timec_p[8]~reg0.CLK
posedge_clk => parity_rec_d_gen~reg0.CLK
posedge_clk => parity_rec_d~reg0.CLK
rx_resetn => dta_timec_p[0]~reg0.ACLR
rx_resetn => dta_timec_p[1]~reg0.ACLR
rx_resetn => dta_timec_p[2]~reg0.ACLR
rx_resetn => dta_timec_p[3]~reg0.ACLR
rx_resetn => dta_timec_p[4]~reg0.ACLR
rx_resetn => dta_timec_p[5]~reg0.ACLR
rx_resetn => dta_timec_p[6]~reg0.ACLR
rx_resetn => dta_timec_p[7]~reg0.ACLR
rx_resetn => dta_timec_p[8]~reg0.ACLR
rx_resetn => parity_rec_d_gen~reg0.ACLR
rx_resetn => parity_rec_d~reg0.ACLR
rx_resetn => parity_rec_c_gen~reg0.ACLR
rx_resetn => parity_rec_c~reg0.ACLR
rx_resetn => control_l_r[0]~reg0.ACLR
rx_resetn => control_l_r[1]~reg0.ACLR
rx_resetn => control_l_r[2]~reg0.ACLR
rx_resetn => control_p_r[0]~reg0.ACLR
rx_resetn => control_p_r[1]~reg0.ACLR
rx_resetn => control_p_r[2]~reg0.ACLR
bit_c_3 => parity_rec_c~reg0.DATAIN
bit_c_2 => parity_rec_c_gen.IN1
bit_c_2 => parity_rec_c_gen.IN1
bit_c_2 => control_p_r[2]~reg0.DATAIN
bit_c_1 => control_p_r[1]~reg0.DATAIN
bit_c_0 => control_p_r[0]~reg0.DATAIN
bit_d_9 => parity_rec_d~reg0.DATAIN
bit_d_8 => parity_rec_d_gen.IN1
bit_d_8 => parity_rec_d_gen.IN1
bit_d_8 => dta_timec_p[8]~reg0.DATAIN
bit_d_0 => dta_timec_p[7]~reg0.DATAIN
bit_d_1 => dta_timec_p[6]~reg0.DATAIN
bit_d_2 => dta_timec_p[5]~reg0.DATAIN
bit_d_3 => dta_timec_p[4]~reg0.DATAIN
bit_d_4 => dta_timec_p[3]~reg0.DATAIN
bit_d_5 => dta_timec_p[2]~reg0.DATAIN
bit_d_6 => dta_timec_p[1]~reg0.DATAIN
bit_d_7 => dta_timec_p[0]~reg0.DATAIN
last_is_control => parity_rec_d_gen.OUTPUTSELECT
last_is_control => parity_rec_c_gen.OUTPUTSELECT
last_is_data => parity_rec_d_gen.OUTPUTSELECT
last_is_data => parity_rec_c_gen.OUTPUTSELECT
is_control => always0.IN1
is_control => always1.IN1
counter_neg[0] => Equal0.IN5
counter_neg[0] => Equal1.IN5
counter_neg[1] => Equal0.IN4
counter_neg[1] => Equal1.IN4
counter_neg[2] => Equal0.IN3
counter_neg[2] => Equal1.IN0
counter_neg[3] => Equal0.IN2
counter_neg[3] => Equal1.IN3
counter_neg[4] => Equal0.IN1
counter_neg[4] => Equal1.IN2
counter_neg[5] => Equal0.IN0
counter_neg[5] => Equal1.IN1
dta_timec_p[0] <= dta_timec_p[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[1] <= dta_timec_p[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[2] <= dta_timec_p[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[3] <= dta_timec_p[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[4] <= dta_timec_p[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[5] <= dta_timec_p[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[6] <= dta_timec_p[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[7] <= dta_timec_p[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
dta_timec_p[8] <= dta_timec_p[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
parity_rec_d <= parity_rec_d~reg0.DB_MAX_OUTPUT_PORT_TYPE
parity_rec_d_gen <= parity_rec_d_gen~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_p_r[0] <= control_p_r[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_p_r[1] <= control_p_r[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_p_r[2] <= control_p_r[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_l_r[0] <= control_l_r[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_l_r[1] <= control_l_r[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
control_l_r[2] <= control_l_r[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
parity_rec_c <= parity_rec_c~reg0.DB_MAX_OUTPUT_PORT_TYPE
parity_rec_c_gen <= parity_rec_c_gen~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|bit_capture_data:capture_d
negedge_clk => bit_d_8~reg0.CLK
negedge_clk => bit_d_6~reg0.CLK
negedge_clk => bit_d_4~reg0.CLK
negedge_clk => bit_d_2~reg0.CLK
negedge_clk => bit_d_0~reg0.CLK
posedge_clk => bit_d_9~reg0.CLK
posedge_clk => bit_d_7~reg0.CLK
posedge_clk => bit_d_5~reg0.CLK
posedge_clk => bit_d_3~reg0.CLK
posedge_clk => bit_d_1~reg0.CLK
rx_resetn => bit_d_8~reg0.ACLR
rx_resetn => bit_d_6~reg0.ACLR
rx_resetn => bit_d_4~reg0.ACLR
rx_resetn => bit_d_2~reg0.ACLR
rx_resetn => bit_d_0~reg0.ACLR
rx_resetn => bit_d_9~reg0.ACLR
rx_resetn => bit_d_7~reg0.ACLR
rx_resetn => bit_d_5~reg0.ACLR
rx_resetn => bit_d_3~reg0.ACLR
rx_resetn => bit_d_1~reg0.ACLR
rx_din => bit_d_0~reg0.DATAIN
rx_din => bit_d_1~reg0.DATAIN
bit_d_0 <= bit_d_0~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_1 <= bit_d_1~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_2 <= bit_d_2~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_3 <= bit_d_3~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_4 <= bit_d_4~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_5 <= bit_d_5~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_6 <= bit_d_6~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_7 <= bit_d_7~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_8 <= bit_d_8~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_9 <= bit_d_9~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|bit_capture_control:capture_c
negedge_clk => bit_c_2~reg0.CLK
negedge_clk => bit_c_0~reg0.CLK
posedge_clk => bit_c_3~reg0.CLK
posedge_clk => bit_c_1~reg0.CLK
rx_resetn => bit_c_2~reg0.ACLR
rx_resetn => bit_c_0~reg0.ACLR
rx_resetn => bit_c_3~reg0.ACLR
rx_resetn => bit_c_1~reg0.ACLR
rx_din => bit_c_0~reg0.DATAIN
rx_din => bit_c_1~reg0.DATAIN
bit_c_0 <= bit_c_0~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_1 <= bit_c_1~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_2 <= bit_c_2~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_3 <= bit_c_3~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|counter_neg:cnt_neg
negedge_clk => counter_neg[0]~reg0.CLK
negedge_clk => counter_neg[1]~reg0.CLK
negedge_clk => counter_neg[2]~reg0.CLK
negedge_clk => counter_neg[3]~reg0.CLK
negedge_clk => counter_neg[4]~reg0.CLK
negedge_clk => counter_neg[5]~reg0.CLK
negedge_clk => control_bit_found.CLK
negedge_clk => is_control~reg0.CLK
rx_resetn => counter_neg[0]~reg0.PRESET
rx_resetn => counter_neg[1]~reg0.ACLR
rx_resetn => counter_neg[2]~reg0.ACLR
rx_resetn => counter_neg[3]~reg0.ACLR
rx_resetn => counter_neg[4]~reg0.ACLR
rx_resetn => counter_neg[5]~reg0.ACLR
rx_resetn => control_bit_found.ACLR
rx_resetn => is_control~reg0.ACLR
rx_din => control_bit_found.DATAIN
is_control <= is_control~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[0] <= counter_neg[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[1] <= counter_neg[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[2] <= counter_neg[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[3] <= counter_neg[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[4] <= counter_neg[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[5] <= counter_neg[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|RX_SPW:RX|rx_data_receive:rx_dtarcv
posedge_clk => rx_got_fct~reg0.CLK
posedge_clk => rx_error_d~reg0.CLK
posedge_clk => rx_error_c~reg0.CLK
posedge_clk => state_data_process[0]~reg0.CLK
posedge_clk => state_data_process[1]~reg0.CLK
posedge_clk => timecode[0]~reg0.CLK
posedge_clk => timecode[1]~reg0.CLK
posedge_clk => timecode[2]~reg0.CLK
posedge_clk => timecode[3]~reg0.CLK
posedge_clk => timecode[4]~reg0.CLK
posedge_clk => timecode[5]~reg0.CLK
posedge_clk => timecode[6]~reg0.CLK
posedge_clk => timecode[7]~reg0.CLK
posedge_clk => rx_data_flag[0]~reg0.CLK
posedge_clk => rx_data_flag[1]~reg0.CLK
posedge_clk => rx_data_flag[2]~reg0.CLK
posedge_clk => rx_data_flag[3]~reg0.CLK
posedge_clk => rx_data_flag[4]~reg0.CLK
posedge_clk => rx_data_flag[5]~reg0.CLK
posedge_clk => rx_data_flag[6]~reg0.CLK
posedge_clk => rx_data_flag[7]~reg0.CLK
posedge_clk => rx_data_flag[8]~reg0.CLK
posedge_clk => last_is_timec~reg0.CLK
posedge_clk => last_is_data~reg0.CLK
posedge_clk => last_is_control~reg0.CLK
rx_resetn => rx_got_fct~reg0.ACLR
rx_resetn => rx_error_d~reg0.ACLR
rx_resetn => rx_error_c~reg0.ACLR
rx_resetn => state_data_process[0]~reg0.ACLR
rx_resetn => state_data_process[1]~reg0.ACLR
rx_resetn => timecode[0]~reg0.ACLR
rx_resetn => timecode[1]~reg0.ACLR
rx_resetn => timecode[2]~reg0.ACLR
rx_resetn => timecode[3]~reg0.ACLR
rx_resetn => timecode[4]~reg0.ACLR
rx_resetn => timecode[5]~reg0.ACLR
rx_resetn => timecode[6]~reg0.ACLR
rx_resetn => timecode[7]~reg0.ACLR
rx_resetn => rx_data_flag[0]~reg0.ACLR
rx_resetn => rx_data_flag[1]~reg0.ACLR
rx_resetn => rx_data_flag[2]~reg0.ACLR
rx_resetn => rx_data_flag[3]~reg0.ACLR
rx_resetn => rx_data_flag[4]~reg0.ACLR
rx_resetn => rx_data_flag[5]~reg0.ACLR
rx_resetn => rx_data_flag[6]~reg0.ACLR
rx_resetn => rx_data_flag[7]~reg0.ACLR
rx_resetn => rx_data_flag[8]~reg0.ACLR
rx_resetn => last_is_timec~reg0.ACLR
rx_resetn => last_is_data~reg0.ACLR
rx_resetn => last_is_control~reg0.ACLR
ready_control_p_r => always0.IN0
ready_control_p_r => rx_got_fct.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => rx_data_flag.OUTPUTSELECT
ready_control_p_r => last_is_control.OUTPUTSELECT
ready_control_p_r => last_is_data.OUTPUTSELECT
ready_control_p_r => last_is_timec.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => timecode.OUTPUTSELECT
ready_control_p_r => rx_error_c.OUTPUTSELECT
ready_control_p_r => rx_got_fct.OUTPUTSELECT
ready_control_p_r => rx_error_d.OUTPUTSELECT
ready_data_p_r => always0.IN1
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => rx_data_flag.OUTPUTSELECT
ready_data_p_r => last_is_control.OUTPUTSELECT
ready_data_p_r => last_is_data.OUTPUTSELECT
ready_data_p_r => last_is_timec.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => timecode.OUTPUTSELECT
ready_data_p_r => rx_error_d.OUTPUTSELECT
ready_control => always0.IN0
ready_data => always0.IN1
parity_rec_c => always1.IN0
parity_rec_d => always1.IN0
parity_rec_c_gen => always1.IN1
parity_rec_d_gen => always1.IN1
control_p_r[0] => Equal3.IN2
control_p_r[0] => Equal4.IN2
control_p_r[0] => Equal5.IN1
control_p_r[0] => Equal6.IN2
control_p_r[1] => Equal3.IN1
control_p_r[1] => Equal4.IN1
control_p_r[1] => Equal5.IN2
control_p_r[1] => Equal6.IN1
control_p_r[2] => Equal3.IN0
control_p_r[2] => Equal4.IN0
control_p_r[2] => Equal5.IN0
control_p_r[2] => Equal6.IN0
control_l_r[0] => Equal2.IN2
control_l_r[1] => Equal2.IN1
control_l_r[2] => Equal2.IN0
dta_timec_p[0] => timecode.DATAB
dta_timec_p[0] => rx_data_flag.DATAB
dta_timec_p[1] => timecode.DATAB
dta_timec_p[1] => rx_data_flag.DATAB
dta_timec_p[2] => timecode.DATAB
dta_timec_p[2] => rx_data_flag.DATAB
dta_timec_p[3] => timecode.DATAB
dta_timec_p[3] => rx_data_flag.DATAB
dta_timec_p[4] => timecode.DATAB
dta_timec_p[4] => rx_data_flag.DATAB
dta_timec_p[5] => timecode.DATAB
dta_timec_p[5] => rx_data_flag.DATAB
dta_timec_p[6] => timecode.DATAB
dta_timec_p[6] => rx_data_flag.DATAB
dta_timec_p[7] => timecode.DATAB
dta_timec_p[7] => rx_data_flag.DATAB
dta_timec_p[8] => rx_data_flag.DATAB
state_data_process[0] <= state_data_process[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
state_data_process[1] <= state_data_process[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
last_is_control <= last_is_control~reg0.DB_MAX_OUTPUT_PORT_TYPE
last_is_data <= last_is_data~reg0.DB_MAX_OUTPUT_PORT_TYPE
last_is_timec <= last_is_timec~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_error_c <= rx_error_c~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_error_d <= rx_error_d~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_got_fct <= rx_got_fct~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[0] <= rx_data_flag[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[1] <= rx_data_flag[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[2] <= rx_data_flag[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[3] <= rx_data_flag[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[4] <= rx_data_flag[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[5] <= rx_data_flag[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[6] <= rx_data_flag[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[7] <= rx_data_flag[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
rx_data_flag[8] <= rx_data_flag[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[0] <= timecode[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[1] <= timecode[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[2] <= timecode[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[3] <= timecode[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[4] <= timecode[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[5] <= timecode[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[6] <= timecode[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
timecode[7] <= timecode[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|TX_SPW:TX
pclk_tx => pclk_tx.IN2
data_tx_i[0] => data_tx_i[0].IN1
data_tx_i[1] => data_tx_i[1].IN1
data_tx_i[2] => data_tx_i[2].IN1
data_tx_i[3] => data_tx_i[3].IN1
data_tx_i[4] => data_tx_i[4].IN1
data_tx_i[5] => data_tx_i[5].IN1
data_tx_i[6] => data_tx_i[6].IN1
data_tx_i[7] => data_tx_i[7].IN1
data_tx_i[8] => data_tx_i[8].IN1
txwrite_tx => txwrite_tx.IN1
timecode_tx_i[0] => timecode_tx_i[0].IN1
timecode_tx_i[1] => timecode_tx_i[1].IN1
timecode_tx_i[2] => timecode_tx_i[2].IN1
timecode_tx_i[3] => timecode_tx_i[3].IN1
timecode_tx_i[4] => timecode_tx_i[4].IN1
timecode_tx_i[5] => timecode_tx_i[5].IN1
timecode_tx_i[6] => timecode_tx_i[6].IN1
timecode_tx_i[7] => timecode_tx_i[7].IN1
tickin_tx => tickin_tx.IN1
enable_tx => enable_tx.IN2
send_null_tx => send_null_tx.IN1
send_fct_tx => send_fct_tx.IN1
gotfct_tx => gotfct_tx.IN1
send_fct_now => send_fct_now.IN1
ready_tx_data <= tx_fsm_m:tx_fsm.ready_tx_data
ready_tx_timecode <= tx_fsm_m:tx_fsm.ready_tx_timecode
tx_dout_e <= tx_fsm_m:tx_fsm.tx_dout_e
tx_sout_e <= tx_fsm_m:tx_fsm.tx_sout_e


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|TX_SPW:TX|tx_fsm_m:tx_fsm
pclk_tx => pclk_tx.IN2
enable_tx => enable_tx.IN2
send_null_tx => always0.IN0
send_null_tx => last_tx_dout.OUTPUTSELECT
send_null_tx => last_tx_sout.OUTPUTSELECT
send_null_tx => tx_dout_e.OUTPUTSELECT
send_null_tx => tx_sout_e.OUTPUTSELECT
send_null_tx => global_counter_transfer.OUTPUTSELECT
send_null_tx => global_counter_transfer.OUTPUTSELECT
send_null_tx => global_counter_transfer.OUTPUTSELECT
send_null_tx => global_counter_transfer.OUTPUTSELECT
send_null_tx => Selector1.IN5
send_null_tx => Selector0.IN1
send_fct_tx => always0.IN1
send_fct_tx => always0.IN1
tx_data_in[0] => ShiftRight1.IN10
tx_data_in[0] => txdata_flagctrl_tx_last.DATAB
tx_data_in[0] => Equal0.IN1
tx_data_in[0] => Equal1.IN1
tx_data_in[1] => ShiftRight1.IN9
tx_data_in[1] => txdata_flagctrl_tx_last.DATAB
tx_data_in[1] => Equal0.IN0
tx_data_in[1] => Equal1.IN0
tx_data_in[2] => ShiftRight1.IN8
tx_data_in[2] => txdata_flagctrl_tx_last.DATAB
tx_data_in[3] => ShiftRight1.IN7
tx_data_in[3] => txdata_flagctrl_tx_last.DATAB
tx_data_in[4] => ShiftRight1.IN6
tx_data_in[4] => txdata_flagctrl_tx_last.DATAB
tx_data_in[5] => ShiftRight1.IN5
tx_data_in[5] => txdata_flagctrl_tx_last.DATAB
tx_data_in[6] => ShiftRight1.IN4
tx_data_in[6] => txdata_flagctrl_tx_last.DATAB
tx_data_in[7] => ShiftRight1.IN3
tx_data_in[7] => txdata_flagctrl_tx_last.DATAB
tx_data_in[8] => result_shift.IN1
tx_data_in[8] => result_shift.IN1
tx_data_in[8] => counter_aux.IN0
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in[8] => ready_tx_data.OUTPUTSELECT
tx_data_in[8] => char_sent.OUTPUTSELECT
tx_data_in[8] => counter_aux.IN0
tx_data_in[8] => result_shift.IN0
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => next_state_tx.OUTPUTSELECT
tx_data_in[8] => always1.IN0
tx_data_in_0[0] => ShiftRight2.IN10
tx_data_in_0[0] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[0] => Equal2.IN1
tx_data_in_0[0] => Equal3.IN1
tx_data_in_0[1] => ShiftRight2.IN9
tx_data_in_0[1] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[1] => Equal2.IN0
tx_data_in_0[1] => Equal3.IN0
tx_data_in_0[2] => ShiftRight2.IN8
tx_data_in_0[2] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[3] => ShiftRight2.IN7
tx_data_in_0[3] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[4] => ShiftRight2.IN6
tx_data_in_0[4] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[5] => ShiftRight2.IN5
tx_data_in_0[5] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[6] => ShiftRight2.IN4
tx_data_in_0[6] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[7] => ShiftRight2.IN3
tx_data_in_0[7] => txdata_flagctrl_tx_last.DATAB
tx_data_in_0[8] => result_shift.IN1
tx_data_in_0[8] => result_shift.IN1
tx_data_in_0[8] => counter_aux.IN1
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => txdata_flagctrl_tx_last.OUTPUTSELECT
tx_data_in_0[8] => ready_tx_data.OUTPUTSELECT
tx_data_in_0[8] => char_sent.OUTPUTSELECT
tx_data_in_0[8] => counter_aux.IN1
tx_data_in_0[8] => result_shift.IN0
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => next_state_tx.OUTPUTSELECT
tx_data_in_0[8] => always1.IN1
process_data => next_state_tx.DATAA
process_data => next_state_tx.DATAA
process_data => next_state_tx.DATAA
process_data => next_state_tx.DATAA
process_data_0 => next_state_tx.DATAA
process_data_0 => next_state_tx.DATAA
gotfct_tx => gotfct_tx.IN1
send_fct_now => send_fct_now.IN1
tx_tcode_in[0] => ShiftRight0.IN14
tx_tcode_in[0] => last_timein_control_flag_tx.DATAB
tx_tcode_in[1] => ShiftRight0.IN13
tx_tcode_in[1] => last_timein_control_flag_tx.DATAB
tx_tcode_in[2] => ShiftRight0.IN12
tx_tcode_in[2] => last_timein_control_flag_tx.DATAB
tx_tcode_in[3] => ShiftRight0.IN11
tx_tcode_in[3] => last_timein_control_flag_tx.DATAB
tx_tcode_in[4] => ShiftRight0.IN10
tx_tcode_in[4] => last_timein_control_flag_tx.DATAB
tx_tcode_in[5] => ShiftRight0.IN9
tx_tcode_in[5] => last_timein_control_flag_tx.DATAB
tx_tcode_in[6] => ShiftRight0.IN8
tx_tcode_in[6] => last_timein_control_flag_tx.DATAB
tx_tcode_in[7] => ShiftRight0.IN7
tx_tcode_in[7] => last_timein_control_flag_tx.DATAB
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.OUTPUTSELECT
tcode_rdy_trnsp => next_state_tx.DATAB
tcode_rdy_trnsp => next_state_tx.DATAB
tcode_rdy_trnsp => next_state_tx.DATAB
tcode_rdy_trnsp => next_state_tx.DATAB
tcode_rdy_trnsp => next_state_tx.DATAB
ready_tx_data <= ready_tx_data~reg0.DB_MAX_OUTPUT_PORT_TYPE
ready_tx_timecode <= ready_tx_timecode~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_great_than_zero <= LessThan0.DB_MAX_OUTPUT_PORT_TYPE
get_data <= get_data.DB_MAX_OUTPUT_PORT_TYPE
get_data_0 <= get_data_0.DB_MAX_OUTPUT_PORT_TYPE
tx_dout_e <= tx_dout_e~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_sout_e <= tx_sout_e~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|TX_SPW:TX|tx_fsm_m:tx_fsm|tx_fct_counter:tx_fct_cnt
pclk_tx => clear_reg.CLK
pclk_tx => fct_counter_p[0]~reg0.CLK
pclk_tx => fct_counter_p[1]~reg0.CLK
pclk_tx => fct_counter_p[2]~reg0.CLK
pclk_tx => fct_counter_p[3]~reg0.CLK
pclk_tx => fct_counter_p[4]~reg0.CLK
pclk_tx => fct_counter_p[5]~reg0.CLK
pclk_tx => rec_b.CLK
pclk_tx => rec_a.CLK
pclk_tx => fct_counter_receive[0].CLK
pclk_tx => fct_counter_receive[1].CLK
pclk_tx => fct_counter_receive[2].CLK
pclk_tx => fct_counter_receive[3].CLK
pclk_tx => fct_counter_receive[4].CLK
pclk_tx => fct_counter_receive[5].CLK
pclk_tx => state_fct_p~1.DATAIN
pclk_tx => state_fct_receive~1.DATAIN
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => fct_counter_p.OUTPUTSELECT
enable_tx => state_fct_p.OUTPUTSELECT
enable_tx => state_fct_p.OUTPUTSELECT
enable_tx => state_fct_p.OUTPUTSELECT
enable_tx => state_fct_p.OUTPUTSELECT
enable_tx => state_fct_p.OUTPUTSELECT
enable_tx => clear_reg.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => fct_counter_receive.OUTPUTSELECT
enable_tx => state_fct_receive.OUTPUTSELECT
enable_tx => state_fct_receive.OUTPUTSELECT
enable_tx => state_fct_receive.OUTPUTSELECT
enable_tx => state_fct_receive.OUTPUTSELECT
enable_tx => state_fct_receive.OUTPUTSELECT
enable_tx => rec_a.OUTPUTSELECT
enable_tx => rec_b.OUTPUTSELECT
gotfct_tx => rec_a.DATAA
char_sent => Selector8.IN1
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => fct_counter_p.OUTPUTSELECT
char_sent => Selector7.IN4
char_sent => Selector9.IN3
fct_counter_p[0] <= fct_counter_p[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_counter_p[1] <= fct_counter_p[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_counter_p[2] <= fct_counter_p[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_counter_p[3] <= fct_counter_p[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_counter_p[4] <= fct_counter_p[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_counter_p[5] <= fct_counter_p[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|TX_SPW:TX|tx_fsm_m:tx_fsm|tx_fct_send:tx_fct_snd
pclk_tx => clear_reg_fct_flag.CLK
pclk_tx => fct_flag_p[0]~reg0.CLK
pclk_tx => fct_flag_p[1]~reg0.CLK
pclk_tx => fct_flag_p[2]~reg0.CLK
pclk_tx => fct_flag[0].CLK
pclk_tx => fct_flag[1].CLK
pclk_tx => fct_flag[2].CLK
pclk_tx => state_fct_send_p~1.DATAIN
pclk_tx => state_fct_send~1.DATAIN
enable_tx => clear_reg_fct_flag.ACLR
enable_tx => fct_flag_p[0]~reg0.PRESET
enable_tx => fct_flag_p[1]~reg0.PRESET
enable_tx => fct_flag_p[2]~reg0.PRESET
enable_tx => fct_flag.OUTPUTSELECT
enable_tx => fct_flag.OUTPUTSELECT
enable_tx => fct_flag.OUTPUTSELECT
enable_tx => state_fct_send.OUTPUTSELECT
enable_tx => state_fct_send.OUTPUTSELECT
enable_tx => state_fct_send_p~3.DATAIN
send_fct_now => fct_flag.OUTPUTSELECT
send_fct_now => fct_flag.OUTPUTSELECT
send_fct_now => fct_flag.OUTPUTSELECT
send_fct_now => next_state_fct_send_p.OUTPUTSELECT
send_fct_now => next_state_fct_send_p.OUTPUTSELECT
send_fct_now => fct_flag_p.OUTPUTSELECT
send_fct_now => fct_flag_p.OUTPUTSELECT
send_fct_now => fct_flag_p.OUTPUTSELECT
send_fct_now => clear_reg_fct_flag.OUTPUTSELECT
send_fct_now => state_fct_send.DATAA
send_fct_now => state_fct_send.DATAA
fct_sent => fct_flag_p.OUTPUTSELECT
fct_sent => fct_flag_p.OUTPUTSELECT
fct_sent => fct_flag_p.OUTPUTSELECT
fct_sent => Selector2.IN2
fct_sent => always2.IN1
fct_sent => always2.IN1
fct_sent => Selector1.IN2
fct_flag_p[0] <= fct_flag_p[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_flag_p[1] <= fct_flag_p[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
fct_flag_p[2] <= fct_flag_p[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|top_spw_ultra_light:SPW|TX_SPW:TX|tx_data_send:tx_data_snd
pclk_tx => tx_tcode_in[0]~reg0.CLK
pclk_tx => tx_tcode_in[1]~reg0.CLK
pclk_tx => tx_tcode_in[2]~reg0.CLK
pclk_tx => tx_tcode_in[3]~reg0.CLK
pclk_tx => tx_tcode_in[4]~reg0.CLK
pclk_tx => tx_tcode_in[5]~reg0.CLK
pclk_tx => tx_tcode_in[6]~reg0.CLK
pclk_tx => tx_tcode_in[7]~reg0.CLK
pclk_tx => tx_data_in_0[0]~reg0.CLK
pclk_tx => tx_data_in_0[1]~reg0.CLK
pclk_tx => tx_data_in_0[2]~reg0.CLK
pclk_tx => tx_data_in_0[3]~reg0.CLK
pclk_tx => tx_data_in_0[4]~reg0.CLK
pclk_tx => tx_data_in_0[5]~reg0.CLK
pclk_tx => tx_data_in_0[6]~reg0.CLK
pclk_tx => tx_data_in_0[7]~reg0.CLK
pclk_tx => tx_data_in_0[8]~reg0.CLK
pclk_tx => tx_data_in[0]~reg0.CLK
pclk_tx => tx_data_in[1]~reg0.CLK
pclk_tx => tx_data_in[2]~reg0.CLK
pclk_tx => tx_data_in[3]~reg0.CLK
pclk_tx => tx_data_in[4]~reg0.CLK
pclk_tx => tx_data_in[5]~reg0.CLK
pclk_tx => tx_data_in[6]~reg0.CLK
pclk_tx => tx_data_in[7]~reg0.CLK
pclk_tx => tx_data_in[8]~reg0.CLK
pclk_tx => tcode_rdy_trnsp~reg0.CLK
pclk_tx => process_data_0~reg0.CLK
pclk_tx => process_data~reg0.CLK
enable_tx => process_data.OUTPUTSELECT
enable_tx => process_data_0.OUTPUTSELECT
enable_tx => tcode_rdy_trnsp.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_data_in_0.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
enable_tx => tx_tcode_in.OUTPUTSELECT
get_data => always0.IN1
get_data_0 => always0.IN1
timecode_tx_i[0] => tx_tcode_in.DATAB
timecode_tx_i[1] => tx_tcode_in.DATAB
timecode_tx_i[2] => tx_tcode_in.DATAB
timecode_tx_i[3] => tx_tcode_in.DATAB
timecode_tx_i[4] => tx_tcode_in.DATAB
timecode_tx_i[5] => tx_tcode_in.DATAB
timecode_tx_i[6] => tx_tcode_in.DATAB
timecode_tx_i[7] => tx_tcode_in.DATAB
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tx_tcode_in.OUTPUTSELECT
tickin_tx => tcode_rdy_trnsp.DATAA
data_tx_i[0] => tx_data_in.DATAB
data_tx_i[0] => tx_data_in_0.DATAB
data_tx_i[1] => tx_data_in.DATAB
data_tx_i[1] => tx_data_in_0.DATAB
data_tx_i[2] => tx_data_in.DATAB
data_tx_i[2] => tx_data_in_0.DATAB
data_tx_i[3] => tx_data_in.DATAB
data_tx_i[3] => tx_data_in_0.DATAB
data_tx_i[4] => tx_data_in.DATAB
data_tx_i[4] => tx_data_in_0.DATAB
data_tx_i[5] => tx_data_in.DATAB
data_tx_i[5] => tx_data_in_0.DATAB
data_tx_i[6] => tx_data_in.DATAB
data_tx_i[6] => tx_data_in_0.DATAB
data_tx_i[7] => tx_data_in.DATAB
data_tx_i[7] => tx_data_in_0.DATAB
data_tx_i[8] => tx_data_in.DATAB
data_tx_i[8] => tx_data_in_0.DATAB
txwrite_tx => process_data_en.IN0
fct_counter_p => process_data_en.IN1
tx_data_in[0] <= tx_data_in[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[1] <= tx_data_in[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[2] <= tx_data_in[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[3] <= tx_data_in[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[4] <= tx_data_in[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[5] <= tx_data_in[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[6] <= tx_data_in[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[7] <= tx_data_in[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in[8] <= tx_data_in[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[0] <= tx_data_in_0[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[1] <= tx_data_in_0[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[2] <= tx_data_in_0[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[3] <= tx_data_in_0[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[4] <= tx_data_in_0[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[5] <= tx_data_in_0[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[6] <= tx_data_in_0[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[7] <= tx_data_in_0[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_data_in_0[8] <= tx_data_in_0[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
process_data <= process_data~reg0.DB_MAX_OUTPUT_PORT_TYPE
process_data_0 <= process_data_0~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[0] <= tx_tcode_in[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[1] <= tx_tcode_in[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[2] <= tx_tcode_in[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[3] <= tx_tcode_in[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[4] <= tx_tcode_in[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[5] <= tx_tcode_in[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[6] <= tx_tcode_in[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tx_tcode_in[7] <= tx_tcode_in[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
tcode_rdy_trnsp <= tcode_rdy_trnsp~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|fifo_rx:rx_data
clock => clock.IN1
reset => reset.IN1
wr_en => always1.IN1
wr_en => Selector4.IN2
wr_en => next_state_data_write.10.DATAB
rd_en => always2.IN1
rd_en => always5.IN1
rd_en => always5.IN0
rd_en => rd_ptr.OUTPUTSELECT
rd_en => rd_ptr.OUTPUTSELECT
rd_en => rd_ptr.OUTPUTSELECT
rd_en => rd_ptr.OUTPUTSELECT
rd_en => rd_ptr.OUTPUTSELECT
rd_en => rd_ptr.OUTPUTSELECT
rd_en => Selector6.IN2
rd_en => next_state_data_read.10.DATAB
data_in[0] => data_in[0].IN1
data_in[1] => data_in[1].IN1
data_in[2] => data_in[2].IN1
data_in[3] => data_in[3].IN1
data_in[4] => data_in[4].IN1
data_in[5] => data_in[5].IN1
data_in[6] => data_in[6].IN1
data_in[7] => data_in[7].IN1
data_in[8] => data_in[8].IN1
f_full <= f_full~reg0.DB_MAX_OUTPUT_PORT_TYPE
f_empty <= f_empty~reg0.DB_MAX_OUTPUT_PORT_TYPE
open_slot_fct <= open_slot_fct~reg0.DB_MAX_OUTPUT_PORT_TYPE
overflow_credit_error <= overflow_credit_error~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[0] <= mem_data:mem_dta_fifo_tx.data_out
data_out[1] <= mem_data:mem_dta_fifo_tx.data_out
data_out[2] <= mem_data:mem_dta_fifo_tx.data_out
data_out[3] <= mem_data:mem_dta_fifo_tx.data_out
data_out[4] <= mem_data:mem_dta_fifo_tx.data_out
data_out[5] <= mem_data:mem_dta_fifo_tx.data_out
data_out[6] <= mem_data:mem_dta_fifo_tx.data_out
data_out[7] <= mem_data:mem_dta_fifo_tx.data_out
data_out[8] <= mem_data:mem_dta_fifo_tx.data_out
counter[0] <= counter[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[1] <= counter[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[2] <= counter[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[3] <= counter[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[4] <= counter[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[5] <= counter[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|fifo_rx:rx_data|mem_data:mem_dta_fifo_tx
clock => data_out[0]~reg0.CLK
clock => data_out[1]~reg0.CLK
clock => data_out[2]~reg0.CLK
clock => data_out[3]~reg0.CLK
clock => data_out[4]~reg0.CLK
clock => data_out[5]~reg0.CLK
clock => data_out[6]~reg0.CLK
clock => data_out[7]~reg0.CLK
clock => data_out[8]~reg0.CLK
clock => mem[63][0].CLK
clock => mem[63][1].CLK
clock => mem[63][2].CLK
clock => mem[63][3].CLK
clock => mem[63][4].CLK
clock => mem[63][5].CLK
clock => mem[63][6].CLK
clock => mem[63][7].CLK
clock => mem[63][8].CLK
clock => mem[62][0].CLK
clock => mem[62][1].CLK
clock => mem[62][2].CLK
clock => mem[62][3].CLK
clock => mem[62][4].CLK
clock => mem[62][5].CLK
clock => mem[62][6].CLK
clock => mem[62][7].CLK
clock => mem[62][8].CLK
clock => mem[61][0].CLK
clock => mem[61][1].CLK
clock => mem[61][2].CLK
clock => mem[61][3].CLK
clock => mem[61][4].CLK
clock => mem[61][5].CLK
clock => mem[61][6].CLK
clock => mem[61][7].CLK
clock => mem[61][8].CLK
clock => mem[60][0].CLK
clock => mem[60][1].CLK
clock => mem[60][2].CLK
clock => mem[60][3].CLK
clock => mem[60][4].CLK
clock => mem[60][5].CLK
clock => mem[60][6].CLK
clock => mem[60][7].CLK
clock => mem[60][8].CLK
clock => mem[59][0].CLK
clock => mem[59][1].CLK
clock => mem[59][2].CLK
clock => mem[59][3].CLK
clock => mem[59][4].CLK
clock => mem[59][5].CLK
clock => mem[59][6].CLK
clock => mem[59][7].CLK
clock => mem[59][8].CLK
clock => mem[58][0].CLK
clock => mem[58][1].CLK
clock => mem[58][2].CLK
clock => mem[58][3].CLK
clock => mem[58][4].CLK
clock => mem[58][5].CLK
clock => mem[58][6].CLK
clock => mem[58][7].CLK
clock => mem[58][8].CLK
clock => mem[57][0].CLK
clock => mem[57][1].CLK
clock => mem[57][2].CLK
clock => mem[57][3].CLK
clock => mem[57][4].CLK
clock => mem[57][5].CLK
clock => mem[57][6].CLK
clock => mem[57][7].CLK
clock => mem[57][8].CLK
clock => mem[56][0].CLK
clock => mem[56][1].CLK
clock => mem[56][2].CLK
clock => mem[56][3].CLK
clock => mem[56][4].CLK
clock => mem[56][5].CLK
clock => mem[56][6].CLK
clock => mem[56][7].CLK
clock => mem[56][8].CLK
clock => mem[55][0].CLK
clock => mem[55][1].CLK
clock => mem[55][2].CLK
clock => mem[55][3].CLK
clock => mem[55][4].CLK
clock => mem[55][5].CLK
clock => mem[55][6].CLK
clock => mem[55][7].CLK
clock => mem[55][8].CLK
clock => mem[54][0].CLK
clock => mem[54][1].CLK
clock => mem[54][2].CLK
clock => mem[54][3].CLK
clock => mem[54][4].CLK
clock => mem[54][5].CLK
clock => mem[54][6].CLK
clock => mem[54][7].CLK
clock => mem[54][8].CLK
clock => mem[53][0].CLK
clock => mem[53][1].CLK
clock => mem[53][2].CLK
clock => mem[53][3].CLK
clock => mem[53][4].CLK
clock => mem[53][5].CLK
clock => mem[53][6].CLK
clock => mem[53][7].CLK
clock => mem[53][8].CLK
clock => mem[52][0].CLK
clock => mem[52][1].CLK
clock => mem[52][2].CLK
clock => mem[52][3].CLK
clock => mem[52][4].CLK
clock => mem[52][5].CLK
clock => mem[52][6].CLK
clock => mem[52][7].CLK
clock => mem[52][8].CLK
clock => mem[51][0].CLK
clock => mem[51][1].CLK
clock => mem[51][2].CLK
clock => mem[51][3].CLK
clock => mem[51][4].CLK
clock => mem[51][5].CLK
clock => mem[51][6].CLK
clock => mem[51][7].CLK
clock => mem[51][8].CLK
clock => mem[50][0].CLK
clock => mem[50][1].CLK
clock => mem[50][2].CLK
clock => mem[50][3].CLK
clock => mem[50][4].CLK
clock => mem[50][5].CLK
clock => mem[50][6].CLK
clock => mem[50][7].CLK
clock => mem[50][8].CLK
clock => mem[49][0].CLK
clock => mem[49][1].CLK
clock => mem[49][2].CLK
clock => mem[49][3].CLK
clock => mem[49][4].CLK
clock => mem[49][5].CLK
clock => mem[49][6].CLK
clock => mem[49][7].CLK
clock => mem[49][8].CLK
clock => mem[48][0].CLK
clock => mem[48][1].CLK
clock => mem[48][2].CLK
clock => mem[48][3].CLK
clock => mem[48][4].CLK
clock => mem[48][5].CLK
clock => mem[48][6].CLK
clock => mem[48][7].CLK
clock => mem[48][8].CLK
clock => mem[47][0].CLK
clock => mem[47][1].CLK
clock => mem[47][2].CLK
clock => mem[47][3].CLK
clock => mem[47][4].CLK
clock => mem[47][5].CLK
clock => mem[47][6].CLK
clock => mem[47][7].CLK
clock => mem[47][8].CLK
clock => mem[46][0].CLK
clock => mem[46][1].CLK
clock => mem[46][2].CLK
clock => mem[46][3].CLK
clock => mem[46][4].CLK
clock => mem[46][5].CLK
clock => mem[46][6].CLK
clock => mem[46][7].CLK
clock => mem[46][8].CLK
clock => mem[45][0].CLK
clock => mem[45][1].CLK
clock => mem[45][2].CLK
clock => mem[45][3].CLK
clock => mem[45][4].CLK
clock => mem[45][5].CLK
clock => mem[45][6].CLK
clock => mem[45][7].CLK
clock => mem[45][8].CLK
clock => mem[44][0].CLK
clock => mem[44][1].CLK
clock => mem[44][2].CLK
clock => mem[44][3].CLK
clock => mem[44][4].CLK
clock => mem[44][5].CLK
clock => mem[44][6].CLK
clock => mem[44][7].CLK
clock => mem[44][8].CLK
clock => mem[43][0].CLK
clock => mem[43][1].CLK
clock => mem[43][2].CLK
clock => mem[43][3].CLK
clock => mem[43][4].CLK
clock => mem[43][5].CLK
clock => mem[43][6].CLK
clock => mem[43][7].CLK
clock => mem[43][8].CLK
clock => mem[42][0].CLK
clock => mem[42][1].CLK
clock => mem[42][2].CLK
clock => mem[42][3].CLK
clock => mem[42][4].CLK
clock => mem[42][5].CLK
clock => mem[42][6].CLK
clock => mem[42][7].CLK
clock => mem[42][8].CLK
clock => mem[41][0].CLK
clock => mem[41][1].CLK
clock => mem[41][2].CLK
clock => mem[41][3].CLK
clock => mem[41][4].CLK
clock => mem[41][5].CLK
clock => mem[41][6].CLK
clock => mem[41][7].CLK
clock => mem[41][8].CLK
clock => mem[40][0].CLK
clock => mem[40][1].CLK
clock => mem[40][2].CLK
clock => mem[40][3].CLK
clock => mem[40][4].CLK
clock => mem[40][5].CLK
clock => mem[40][6].CLK
clock => mem[40][7].CLK
clock => mem[40][8].CLK
clock => mem[39][0].CLK
clock => mem[39][1].CLK
clock => mem[39][2].CLK
clock => mem[39][3].CLK
clock => mem[39][4].CLK
clock => mem[39][5].CLK
clock => mem[39][6].CLK
clock => mem[39][7].CLK
clock => mem[39][8].CLK
clock => mem[38][0].CLK
clock => mem[38][1].CLK
clock => mem[38][2].CLK
clock => mem[38][3].CLK
clock => mem[38][4].CLK
clock => mem[38][5].CLK
clock => mem[38][6].CLK
clock => mem[38][7].CLK
clock => mem[38][8].CLK
clock => mem[37][0].CLK
clock => mem[37][1].CLK
clock => mem[37][2].CLK
clock => mem[37][3].CLK
clock => mem[37][4].CLK
clock => mem[37][5].CLK
clock => mem[37][6].CLK
clock => mem[37][7].CLK
clock => mem[37][8].CLK
clock => mem[36][0].CLK
clock => mem[36][1].CLK
clock => mem[36][2].CLK
clock => mem[36][3].CLK
clock => mem[36][4].CLK
clock => mem[36][5].CLK
clock => mem[36][6].CLK
clock => mem[36][7].CLK
clock => mem[36][8].CLK
clock => mem[35][0].CLK
clock => mem[35][1].CLK
clock => mem[35][2].CLK
clock => mem[35][3].CLK
clock => mem[35][4].CLK
clock => mem[35][5].CLK
clock => mem[35][6].CLK
clock => mem[35][7].CLK
clock => mem[35][8].CLK
clock => mem[34][0].CLK
clock => mem[34][1].CLK
clock => mem[34][2].CLK
clock => mem[34][3].CLK
clock => mem[34][4].CLK
clock => mem[34][5].CLK
clock => mem[34][6].CLK
clock => mem[34][7].CLK
clock => mem[34][8].CLK
clock => mem[33][0].CLK
clock => mem[33][1].CLK
clock => mem[33][2].CLK
clock => mem[33][3].CLK
clock => mem[33][4].CLK
clock => mem[33][5].CLK
clock => mem[33][6].CLK
clock => mem[33][7].CLK
clock => mem[33][8].CLK
clock => mem[32][0].CLK
clock => mem[32][1].CLK
clock => mem[32][2].CLK
clock => mem[32][3].CLK
clock => mem[32][4].CLK
clock => mem[32][5].CLK
clock => mem[32][6].CLK
clock => mem[32][7].CLK
clock => mem[32][8].CLK
clock => mem[31][0].CLK
clock => mem[31][1].CLK
clock => mem[31][2].CLK
clock => mem[31][3].CLK
clock => mem[31][4].CLK
clock => mem[31][5].CLK
clock => mem[31][6].CLK
clock => mem[31][7].CLK
clock => mem[31][8].CLK
clock => mem[30][0].CLK
clock => mem[30][1].CLK
clock => mem[30][2].CLK
clock => mem[30][3].CLK
clock => mem[30][4].CLK
clock => mem[30][5].CLK
clock => mem[30][6].CLK
clock => mem[30][7].CLK
clock => mem[30][8].CLK
clock => mem[29][0].CLK
clock => mem[29][1].CLK
clock => mem[29][2].CLK
clock => mem[29][3].CLK
clock => mem[29][4].CLK
clock => mem[29][5].CLK
clock => mem[29][6].CLK
clock => mem[29][7].CLK
clock => mem[29][8].CLK
clock => mem[28][0].CLK
clock => mem[28][1].CLK
clock => mem[28][2].CLK
clock => mem[28][3].CLK
clock => mem[28][4].CLK
clock => mem[28][5].CLK
clock => mem[28][6].CLK
clock => mem[28][7].CLK
clock => mem[28][8].CLK
clock => mem[27][0].CLK
clock => mem[27][1].CLK
clock => mem[27][2].CLK
clock => mem[27][3].CLK
clock => mem[27][4].CLK
clock => mem[27][5].CLK
clock => mem[27][6].CLK
clock => mem[27][7].CLK
clock => mem[27][8].CLK
clock => mem[26][0].CLK
clock => mem[26][1].CLK
clock => mem[26][2].CLK
clock => mem[26][3].CLK
clock => mem[26][4].CLK
clock => mem[26][5].CLK
clock => mem[26][6].CLK
clock => mem[26][7].CLK
clock => mem[26][8].CLK
clock => mem[25][0].CLK
clock => mem[25][1].CLK
clock => mem[25][2].CLK
clock => mem[25][3].CLK
clock => mem[25][4].CLK
clock => mem[25][5].CLK
clock => mem[25][6].CLK
clock => mem[25][7].CLK
clock => mem[25][8].CLK
clock => mem[24][0].CLK
clock => mem[24][1].CLK
clock => mem[24][2].CLK
clock => mem[24][3].CLK
clock => mem[24][4].CLK
clock => mem[24][5].CLK
clock => mem[24][6].CLK
clock => mem[24][7].CLK
clock => mem[24][8].CLK
clock => mem[23][0].CLK
clock => mem[23][1].CLK
clock => mem[23][2].CLK
clock => mem[23][3].CLK
clock => mem[23][4].CLK
clock => mem[23][5].CLK
clock => mem[23][6].CLK
clock => mem[23][7].CLK
clock => mem[23][8].CLK
clock => mem[22][0].CLK
clock => mem[22][1].CLK
clock => mem[22][2].CLK
clock => mem[22][3].CLK
clock => mem[22][4].CLK
clock => mem[22][5].CLK
clock => mem[22][6].CLK
clock => mem[22][7].CLK
clock => mem[22][8].CLK
clock => mem[21][0].CLK
clock => mem[21][1].CLK
clock => mem[21][2].CLK
clock => mem[21][3].CLK
clock => mem[21][4].CLK
clock => mem[21][5].CLK
clock => mem[21][6].CLK
clock => mem[21][7].CLK
clock => mem[21][8].CLK
clock => mem[20][0].CLK
clock => mem[20][1].CLK
clock => mem[20][2].CLK
clock => mem[20][3].CLK
clock => mem[20][4].CLK
clock => mem[20][5].CLK
clock => mem[20][6].CLK
clock => mem[20][7].CLK
clock => mem[20][8].CLK
clock => mem[19][0].CLK
clock => mem[19][1].CLK
clock => mem[19][2].CLK
clock => mem[19][3].CLK
clock => mem[19][4].CLK
clock => mem[19][5].CLK
clock => mem[19][6].CLK
clock => mem[19][7].CLK
clock => mem[19][8].CLK
clock => mem[18][0].CLK
clock => mem[18][1].CLK
clock => mem[18][2].CLK
clock => mem[18][3].CLK
clock => mem[18][4].CLK
clock => mem[18][5].CLK
clock => mem[18][6].CLK
clock => mem[18][7].CLK
clock => mem[18][8].CLK
clock => mem[17][0].CLK
clock => mem[17][1].CLK
clock => mem[17][2].CLK
clock => mem[17][3].CLK
clock => mem[17][4].CLK
clock => mem[17][5].CLK
clock => mem[17][6].CLK
clock => mem[17][7].CLK
clock => mem[17][8].CLK
clock => mem[16][0].CLK
clock => mem[16][1].CLK
clock => mem[16][2].CLK
clock => mem[16][3].CLK
clock => mem[16][4].CLK
clock => mem[16][5].CLK
clock => mem[16][6].CLK
clock => mem[16][7].CLK
clock => mem[16][8].CLK
clock => mem[15][0].CLK
clock => mem[15][1].CLK
clock => mem[15][2].CLK
clock => mem[15][3].CLK
clock => mem[15][4].CLK
clock => mem[15][5].CLK
clock => mem[15][6].CLK
clock => mem[15][7].CLK
clock => mem[15][8].CLK
clock => mem[14][0].CLK
clock => mem[14][1].CLK
clock => mem[14][2].CLK
clock => mem[14][3].CLK
clock => mem[14][4].CLK
clock => mem[14][5].CLK
clock => mem[14][6].CLK
clock => mem[14][7].CLK
clock => mem[14][8].CLK
clock => mem[13][0].CLK
clock => mem[13][1].CLK
clock => mem[13][2].CLK
clock => mem[13][3].CLK
clock => mem[13][4].CLK
clock => mem[13][5].CLK
clock => mem[13][6].CLK
clock => mem[13][7].CLK
clock => mem[13][8].CLK
clock => mem[12][0].CLK
clock => mem[12][1].CLK
clock => mem[12][2].CLK
clock => mem[12][3].CLK
clock => mem[12][4].CLK
clock => mem[12][5].CLK
clock => mem[12][6].CLK
clock => mem[12][7].CLK
clock => mem[12][8].CLK
clock => mem[11][0].CLK
clock => mem[11][1].CLK
clock => mem[11][2].CLK
clock => mem[11][3].CLK
clock => mem[11][4].CLK
clock => mem[11][5].CLK
clock => mem[11][6].CLK
clock => mem[11][7].CLK
clock => mem[11][8].CLK
clock => mem[10][0].CLK
clock => mem[10][1].CLK
clock => mem[10][2].CLK
clock => mem[10][3].CLK
clock => mem[10][4].CLK
clock => mem[10][5].CLK
clock => mem[10][6].CLK
clock => mem[10][7].CLK
clock => mem[10][8].CLK
clock => mem[9][0].CLK
clock => mem[9][1].CLK
clock => mem[9][2].CLK
clock => mem[9][3].CLK
clock => mem[9][4].CLK
clock => mem[9][5].CLK
clock => mem[9][6].CLK
clock => mem[9][7].CLK
clock => mem[9][8].CLK
clock => mem[8][0].CLK
clock => mem[8][1].CLK
clock => mem[8][2].CLK
clock => mem[8][3].CLK
clock => mem[8][4].CLK
clock => mem[8][5].CLK
clock => mem[8][6].CLK
clock => mem[8][7].CLK
clock => mem[8][8].CLK
clock => mem[7][0].CLK
clock => mem[7][1].CLK
clock => mem[7][2].CLK
clock => mem[7][3].CLK
clock => mem[7][4].CLK
clock => mem[7][5].CLK
clock => mem[7][6].CLK
clock => mem[7][7].CLK
clock => mem[7][8].CLK
clock => mem[6][0].CLK
clock => mem[6][1].CLK
clock => mem[6][2].CLK
clock => mem[6][3].CLK
clock => mem[6][4].CLK
clock => mem[6][5].CLK
clock => mem[6][6].CLK
clock => mem[6][7].CLK
clock => mem[6][8].CLK
clock => mem[5][0].CLK
clock => mem[5][1].CLK
clock => mem[5][2].CLK
clock => mem[5][3].CLK
clock => mem[5][4].CLK
clock => mem[5][5].CLK
clock => mem[5][6].CLK
clock => mem[5][7].CLK
clock => mem[5][8].CLK
clock => mem[4][0].CLK
clock => mem[4][1].CLK
clock => mem[4][2].CLK
clock => mem[4][3].CLK
clock => mem[4][4].CLK
clock => mem[4][5].CLK
clock => mem[4][6].CLK
clock => mem[4][7].CLK
clock => mem[4][8].CLK
clock => mem[3][0].CLK
clock => mem[3][1].CLK
clock => mem[3][2].CLK
clock => mem[3][3].CLK
clock => mem[3][4].CLK
clock => mem[3][5].CLK
clock => mem[3][6].CLK
clock => mem[3][7].CLK
clock => mem[3][8].CLK
clock => mem[2][0].CLK
clock => mem[2][1].CLK
clock => mem[2][2].CLK
clock => mem[2][3].CLK
clock => mem[2][4].CLK
clock => mem[2][5].CLK
clock => mem[2][6].CLK
clock => mem[2][7].CLK
clock => mem[2][8].CLK
clock => mem[1][0].CLK
clock => mem[1][1].CLK
clock => mem[1][2].CLK
clock => mem[1][3].CLK
clock => mem[1][4].CLK
clock => mem[1][5].CLK
clock => mem[1][6].CLK
clock => mem[1][7].CLK
clock => mem[1][8].CLK
clock => mem[0][0].CLK
clock => mem[0][1].CLK
clock => mem[0][2].CLK
clock => mem[0][3].CLK
clock => mem[0][4].CLK
clock => mem[0][5].CLK
clock => mem[0][6].CLK
clock => mem[0][7].CLK
clock => mem[0][8].CLK
reset => mem[63][0].ACLR
reset => mem[63][1].ACLR
reset => mem[63][2].ACLR
reset => mem[63][3].ACLR
reset => mem[63][4].ACLR
reset => mem[63][5].ACLR
reset => mem[63][6].ACLR
reset => mem[63][7].ACLR
reset => mem[63][8].ACLR
reset => mem[62][0].ACLR
reset => mem[62][1].ACLR
reset => mem[62][2].ACLR
reset => mem[62][3].ACLR
reset => mem[62][4].ACLR
reset => mem[62][5].ACLR
reset => mem[62][6].ACLR
reset => mem[62][7].ACLR
reset => mem[62][8].ACLR
reset => mem[61][0].ACLR
reset => mem[61][1].ACLR
reset => mem[61][2].ACLR
reset => mem[61][3].ACLR
reset => mem[61][4].ACLR
reset => mem[61][5].ACLR
reset => mem[61][6].ACLR
reset => mem[61][7].ACLR
reset => mem[61][8].ACLR
reset => mem[60][0].ACLR
reset => mem[60][1].ACLR
reset => mem[60][2].ACLR
reset => mem[60][3].ACLR
reset => mem[60][4].ACLR
reset => mem[60][5].ACLR
reset => mem[60][6].ACLR
reset => mem[60][7].ACLR
reset => mem[60][8].ACLR
reset => mem[59][0].ACLR
reset => mem[59][1].ACLR
reset => mem[59][2].ACLR
reset => mem[59][3].ACLR
reset => mem[59][4].ACLR
reset => mem[59][5].ACLR
reset => mem[59][6].ACLR
reset => mem[59][7].ACLR
reset => mem[59][8].ACLR
reset => mem[58][0].ACLR
reset => mem[58][1].ACLR
reset => mem[58][2].ACLR
reset => mem[58][3].ACLR
reset => mem[58][4].ACLR
reset => mem[58][5].ACLR
reset => mem[58][6].ACLR
reset => mem[58][7].ACLR
reset => mem[58][8].ACLR
reset => mem[57][0].ACLR
reset => mem[57][1].ACLR
reset => mem[57][2].ACLR
reset => mem[57][3].ACLR
reset => mem[57][4].ACLR
reset => mem[57][5].ACLR
reset => mem[57][6].ACLR
reset => mem[57][7].ACLR
reset => mem[57][8].ACLR
reset => mem[56][0].ACLR
reset => mem[56][1].ACLR
reset => mem[56][2].ACLR
reset => mem[56][3].ACLR
reset => mem[56][4].ACLR
reset => mem[56][5].ACLR
reset => mem[56][6].ACLR
reset => mem[56][7].ACLR
reset => mem[56][8].ACLR
reset => mem[55][0].ACLR
reset => mem[55][1].ACLR
reset => mem[55][2].ACLR
reset => mem[55][3].ACLR
reset => mem[55][4].ACLR
reset => mem[55][5].ACLR
reset => mem[55][6].ACLR
reset => mem[55][7].ACLR
reset => mem[55][8].ACLR
reset => mem[54][0].ACLR
reset => mem[54][1].ACLR
reset => mem[54][2].ACLR
reset => mem[54][3].ACLR
reset => mem[54][4].ACLR
reset => mem[54][5].ACLR
reset => mem[54][6].ACLR
reset => mem[54][7].ACLR
reset => mem[54][8].ACLR
reset => mem[53][0].ACLR
reset => mem[53][1].ACLR
reset => mem[53][2].ACLR
reset => mem[53][3].ACLR
reset => mem[53][4].ACLR
reset => mem[53][5].ACLR
reset => mem[53][6].ACLR
reset => mem[53][7].ACLR
reset => mem[53][8].ACLR
reset => mem[52][0].ACLR
reset => mem[52][1].ACLR
reset => mem[52][2].ACLR
reset => mem[52][3].ACLR
reset => mem[52][4].ACLR
reset => mem[52][5].ACLR
reset => mem[52][6].ACLR
reset => mem[52][7].ACLR
reset => mem[52][8].ACLR
reset => mem[51][0].ACLR
reset => mem[51][1].ACLR
reset => mem[51][2].ACLR
reset => mem[51][3].ACLR
reset => mem[51][4].ACLR
reset => mem[51][5].ACLR
reset => mem[51][6].ACLR
reset => mem[51][7].ACLR
reset => mem[51][8].ACLR
reset => mem[50][0].ACLR
reset => mem[50][1].ACLR
reset => mem[50][2].ACLR
reset => mem[50][3].ACLR
reset => mem[50][4].ACLR
reset => mem[50][5].ACLR
reset => mem[50][6].ACLR
reset => mem[50][7].ACLR
reset => mem[50][8].ACLR
reset => mem[49][0].ACLR
reset => mem[49][1].ACLR
reset => mem[49][2].ACLR
reset => mem[49][3].ACLR
reset => mem[49][4].ACLR
reset => mem[49][5].ACLR
reset => mem[49][6].ACLR
reset => mem[49][7].ACLR
reset => mem[49][8].ACLR
reset => mem[48][0].ACLR
reset => mem[48][1].ACLR
reset => mem[48][2].ACLR
reset => mem[48][3].ACLR
reset => mem[48][4].ACLR
reset => mem[48][5].ACLR
reset => mem[48][6].ACLR
reset => mem[48][7].ACLR
reset => mem[48][8].ACLR
reset => mem[47][0].ACLR
reset => mem[47][1].ACLR
reset => mem[47][2].ACLR
reset => mem[47][3].ACLR
reset => mem[47][4].ACLR
reset => mem[47][5].ACLR
reset => mem[47][6].ACLR
reset => mem[47][7].ACLR
reset => mem[47][8].ACLR
reset => mem[46][0].ACLR
reset => mem[46][1].ACLR
reset => mem[46][2].ACLR
reset => mem[46][3].ACLR
reset => mem[46][4].ACLR
reset => mem[46][5].ACLR
reset => mem[46][6].ACLR
reset => mem[46][7].ACLR
reset => mem[46][8].ACLR
reset => mem[45][0].ACLR
reset => mem[45][1].ACLR
reset => mem[45][2].ACLR
reset => mem[45][3].ACLR
reset => mem[45][4].ACLR
reset => mem[45][5].ACLR
reset => mem[45][6].ACLR
reset => mem[45][7].ACLR
reset => mem[45][8].ACLR
reset => mem[44][0].ACLR
reset => mem[44][1].ACLR
reset => mem[44][2].ACLR
reset => mem[44][3].ACLR
reset => mem[44][4].ACLR
reset => mem[44][5].ACLR
reset => mem[44][6].ACLR
reset => mem[44][7].ACLR
reset => mem[44][8].ACLR
reset => mem[43][0].ACLR
reset => mem[43][1].ACLR
reset => mem[43][2].ACLR
reset => mem[43][3].ACLR
reset => mem[43][4].ACLR
reset => mem[43][5].ACLR
reset => mem[43][6].ACLR
reset => mem[43][7].ACLR
reset => mem[43][8].ACLR
reset => mem[42][0].ACLR
reset => mem[42][1].ACLR
reset => mem[42][2].ACLR
reset => mem[42][3].ACLR
reset => mem[42][4].ACLR
reset => mem[42][5].ACLR
reset => mem[42][6].ACLR
reset => mem[42][7].ACLR
reset => mem[42][8].ACLR
reset => mem[41][0].ACLR
reset => mem[41][1].ACLR
reset => mem[41][2].ACLR
reset => mem[41][3].ACLR
reset => mem[41][4].ACLR
reset => mem[41][5].ACLR
reset => mem[41][6].ACLR
reset => mem[41][7].ACLR
reset => mem[41][8].ACLR
reset => mem[40][0].ACLR
reset => mem[40][1].ACLR
reset => mem[40][2].ACLR
reset => mem[40][3].ACLR
reset => mem[40][4].ACLR
reset => mem[40][5].ACLR
reset => mem[40][6].ACLR
reset => mem[40][7].ACLR
reset => mem[40][8].ACLR
reset => mem[39][0].ACLR
reset => mem[39][1].ACLR
reset => mem[39][2].ACLR
reset => mem[39][3].ACLR
reset => mem[39][4].ACLR
reset => mem[39][5].ACLR
reset => mem[39][6].ACLR
reset => mem[39][7].ACLR
reset => mem[39][8].ACLR
reset => mem[38][0].ACLR
reset => mem[38][1].ACLR
reset => mem[38][2].ACLR
reset => mem[38][3].ACLR
reset => mem[38][4].ACLR
reset => mem[38][5].ACLR
reset => mem[38][6].ACLR
reset => mem[38][7].ACLR
reset => mem[38][8].ACLR
reset => mem[37][0].ACLR
reset => mem[37][1].ACLR
reset => mem[37][2].ACLR
reset => mem[37][3].ACLR
reset => mem[37][4].ACLR
reset => mem[37][5].ACLR
reset => mem[37][6].ACLR
reset => mem[37][7].ACLR
reset => mem[37][8].ACLR
reset => mem[36][0].ACLR
reset => mem[36][1].ACLR
reset => mem[36][2].ACLR
reset => mem[36][3].ACLR
reset => mem[36][4].ACLR
reset => mem[36][5].ACLR
reset => mem[36][6].ACLR
reset => mem[36][7].ACLR
reset => mem[36][8].ACLR
reset => mem[35][0].ACLR
reset => mem[35][1].ACLR
reset => mem[35][2].ACLR
reset => mem[35][3].ACLR
reset => mem[35][4].ACLR
reset => mem[35][5].ACLR
reset => mem[35][6].ACLR
reset => mem[35][7].ACLR
reset => mem[35][8].ACLR
reset => mem[34][0].ACLR
reset => mem[34][1].ACLR
reset => mem[34][2].ACLR
reset => mem[34][3].ACLR
reset => mem[34][4].ACLR
reset => mem[34][5].ACLR
reset => mem[34][6].ACLR
reset => mem[34][7].ACLR
reset => mem[34][8].ACLR
reset => mem[33][0].ACLR
reset => mem[33][1].ACLR
reset => mem[33][2].ACLR
reset => mem[33][3].ACLR
reset => mem[33][4].ACLR
reset => mem[33][5].ACLR
reset => mem[33][6].ACLR
reset => mem[33][7].ACLR
reset => mem[33][8].ACLR
reset => mem[32][0].ACLR
reset => mem[32][1].ACLR
reset => mem[32][2].ACLR
reset => mem[32][3].ACLR
reset => mem[32][4].ACLR
reset => mem[32][5].ACLR
reset => mem[32][6].ACLR
reset => mem[32][7].ACLR
reset => mem[32][8].ACLR
reset => mem[31][0].ACLR
reset => mem[31][1].ACLR
reset => mem[31][2].ACLR
reset => mem[31][3].ACLR
reset => mem[31][4].ACLR
reset => mem[31][5].ACLR
reset => mem[31][6].ACLR
reset => mem[31][7].ACLR
reset => mem[31][8].ACLR
reset => mem[30][0].ACLR
reset => mem[30][1].ACLR
reset => mem[30][2].ACLR
reset => mem[30][3].ACLR
reset => mem[30][4].ACLR
reset => mem[30][5].ACLR
reset => mem[30][6].ACLR
reset => mem[30][7].ACLR
reset => mem[30][8].ACLR
reset => mem[29][0].ACLR
reset => mem[29][1].ACLR
reset => mem[29][2].ACLR
reset => mem[29][3].ACLR
reset => mem[29][4].ACLR
reset => mem[29][5].ACLR
reset => mem[29][6].ACLR
reset => mem[29][7].ACLR
reset => mem[29][8].ACLR
reset => mem[28][0].ACLR
reset => mem[28][1].ACLR
reset => mem[28][2].ACLR
reset => mem[28][3].ACLR
reset => mem[28][4].ACLR
reset => mem[28][5].ACLR
reset => mem[28][6].ACLR
reset => mem[28][7].ACLR
reset => mem[28][8].ACLR
reset => mem[27][0].ACLR
reset => mem[27][1].ACLR
reset => mem[27][2].ACLR
reset => mem[27][3].ACLR
reset => mem[27][4].ACLR
reset => mem[27][5].ACLR
reset => mem[27][6].ACLR
reset => mem[27][7].ACLR
reset => mem[27][8].ACLR
reset => mem[26][0].ACLR
reset => mem[26][1].ACLR
reset => mem[26][2].ACLR
reset => mem[26][3].ACLR
reset => mem[26][4].ACLR
reset => mem[26][5].ACLR
reset => mem[26][6].ACLR
reset => mem[26][7].ACLR
reset => mem[26][8].ACLR
reset => mem[25][0].ACLR
reset => mem[25][1].ACLR
reset => mem[25][2].ACLR
reset => mem[25][3].ACLR
reset => mem[25][4].ACLR
reset => mem[25][5].ACLR
reset => mem[25][6].ACLR
reset => mem[25][7].ACLR
reset => mem[25][8].ACLR
reset => mem[24][0].ACLR
reset => mem[24][1].ACLR
reset => mem[24][2].ACLR
reset => mem[24][3].ACLR
reset => mem[24][4].ACLR
reset => mem[24][5].ACLR
reset => mem[24][6].ACLR
reset => mem[24][7].ACLR
reset => mem[24][8].ACLR
reset => mem[23][0].ACLR
reset => mem[23][1].ACLR
reset => mem[23][2].ACLR
reset => mem[23][3].ACLR
reset => mem[23][4].ACLR
reset => mem[23][5].ACLR
reset => mem[23][6].ACLR
reset => mem[23][7].ACLR
reset => mem[23][8].ACLR
reset => mem[22][0].ACLR
reset => mem[22][1].ACLR
reset => mem[22][2].ACLR
reset => mem[22][3].ACLR
reset => mem[22][4].ACLR
reset => mem[22][5].ACLR
reset => mem[22][6].ACLR
reset => mem[22][7].ACLR
reset => mem[22][8].ACLR
reset => mem[21][0].ACLR
reset => mem[21][1].ACLR
reset => mem[21][2].ACLR
reset => mem[21][3].ACLR
reset => mem[21][4].ACLR
reset => mem[21][5].ACLR
reset => mem[21][6].ACLR
reset => mem[21][7].ACLR
reset => mem[21][8].ACLR
reset => mem[20][0].ACLR
reset => mem[20][1].ACLR
reset => mem[20][2].ACLR
reset => mem[20][3].ACLR
reset => mem[20][4].ACLR
reset => mem[20][5].ACLR
reset => mem[20][6].ACLR
reset => mem[20][7].ACLR
reset => mem[20][8].ACLR
reset => mem[19][0].ACLR
reset => mem[19][1].ACLR
reset => mem[19][2].ACLR
reset => mem[19][3].ACLR
reset => mem[19][4].ACLR
reset => mem[19][5].ACLR
reset => mem[19][6].ACLR
reset => mem[19][7].ACLR
reset => mem[19][8].ACLR
reset => mem[18][0].ACLR
reset => mem[18][1].ACLR
reset => mem[18][2].ACLR
reset => mem[18][3].ACLR
reset => mem[18][4].ACLR
reset => mem[18][5].ACLR
reset => mem[18][6].ACLR
reset => mem[18][7].ACLR
reset => mem[18][8].ACLR
reset => mem[17][0].ACLR
reset => mem[17][1].ACLR
reset => mem[17][2].ACLR
reset => mem[17][3].ACLR
reset => mem[17][4].ACLR
reset => mem[17][5].ACLR
reset => mem[17][6].ACLR
reset => mem[17][7].ACLR
reset => mem[17][8].ACLR
reset => mem[16][0].ACLR
reset => mem[16][1].ACLR
reset => mem[16][2].ACLR
reset => mem[16][3].ACLR
reset => mem[16][4].ACLR
reset => mem[16][5].ACLR
reset => mem[16][6].ACLR
reset => mem[16][7].ACLR
reset => mem[16][8].ACLR
reset => mem[15][0].ACLR
reset => mem[15][1].ACLR
reset => mem[15][2].ACLR
reset => mem[15][3].ACLR
reset => mem[15][4].ACLR
reset => mem[15][5].ACLR
reset => mem[15][6].ACLR
reset => mem[15][7].ACLR
reset => mem[15][8].ACLR
reset => mem[14][0].ACLR
reset => mem[14][1].ACLR
reset => mem[14][2].ACLR
reset => mem[14][3].ACLR
reset => mem[14][4].ACLR
reset => mem[14][5].ACLR
reset => mem[14][6].ACLR
reset => mem[14][7].ACLR
reset => mem[14][8].ACLR
reset => mem[13][0].ACLR
reset => mem[13][1].ACLR
reset => mem[13][2].ACLR
reset => mem[13][3].ACLR
reset => mem[13][4].ACLR
reset => mem[13][5].ACLR
reset => mem[13][6].ACLR
reset => mem[13][7].ACLR
reset => mem[13][8].ACLR
reset => mem[12][0].ACLR
reset => mem[12][1].ACLR
reset => mem[12][2].ACLR
reset => mem[12][3].ACLR
reset => mem[12][4].ACLR
reset => mem[12][5].ACLR
reset => mem[12][6].ACLR
reset => mem[12][7].ACLR
reset => mem[12][8].ACLR
reset => mem[11][0].ACLR
reset => mem[11][1].ACLR
reset => mem[11][2].ACLR
reset => mem[11][3].ACLR
reset => mem[11][4].ACLR
reset => mem[11][5].ACLR
reset => mem[11][6].ACLR
reset => mem[11][7].ACLR
reset => mem[11][8].ACLR
reset => mem[10][0].ACLR
reset => mem[10][1].ACLR
reset => mem[10][2].ACLR
reset => mem[10][3].ACLR
reset => mem[10][4].ACLR
reset => mem[10][5].ACLR
reset => mem[10][6].ACLR
reset => mem[10][7].ACLR
reset => mem[10][8].ACLR
reset => mem[9][0].ACLR
reset => mem[9][1].ACLR
reset => mem[9][2].ACLR
reset => mem[9][3].ACLR
reset => mem[9][4].ACLR
reset => mem[9][5].ACLR
reset => mem[9][6].ACLR
reset => mem[9][7].ACLR
reset => mem[9][8].ACLR
reset => mem[8][0].ACLR
reset => mem[8][1].ACLR
reset => mem[8][2].ACLR
reset => mem[8][3].ACLR
reset => mem[8][4].ACLR
reset => mem[8][5].ACLR
reset => mem[8][6].ACLR
reset => mem[8][7].ACLR
reset => mem[8][8].ACLR
reset => mem[7][0].ACLR
reset => mem[7][1].ACLR
reset => mem[7][2].ACLR
reset => mem[7][3].ACLR
reset => mem[7][4].ACLR
reset => mem[7][5].ACLR
reset => mem[7][6].ACLR
reset => mem[7][7].ACLR
reset => mem[7][8].ACLR
reset => mem[6][0].ACLR
reset => mem[6][1].ACLR
reset => mem[6][2].ACLR
reset => mem[6][3].ACLR
reset => mem[6][4].ACLR
reset => mem[6][5].ACLR
reset => mem[6][6].ACLR
reset => mem[6][7].ACLR
reset => mem[6][8].ACLR
reset => mem[5][0].ACLR
reset => mem[5][1].ACLR
reset => mem[5][2].ACLR
reset => mem[5][3].ACLR
reset => mem[5][4].ACLR
reset => mem[5][5].ACLR
reset => mem[5][6].ACLR
reset => mem[5][7].ACLR
reset => mem[5][8].ACLR
reset => mem[4][0].ACLR
reset => mem[4][1].ACLR
reset => mem[4][2].ACLR
reset => mem[4][3].ACLR
reset => mem[4][4].ACLR
reset => mem[4][5].ACLR
reset => mem[4][6].ACLR
reset => mem[4][7].ACLR
reset => mem[4][8].ACLR
reset => mem[3][0].ACLR
reset => mem[3][1].ACLR
reset => mem[3][2].ACLR
reset => mem[3][3].ACLR
reset => mem[3][4].ACLR
reset => mem[3][5].ACLR
reset => mem[3][6].ACLR
reset => mem[3][7].ACLR
reset => mem[3][8].ACLR
reset => mem[2][0].ACLR
reset => mem[2][1].ACLR
reset => mem[2][2].ACLR
reset => mem[2][3].ACLR
reset => mem[2][4].ACLR
reset => mem[2][5].ACLR
reset => mem[2][6].ACLR
reset => mem[2][7].ACLR
reset => mem[2][8].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => data_out[0]~reg0.ACLR
reset => data_out[1]~reg0.ACLR
reset => data_out[2]~reg0.ACLR
reset => data_out[3]~reg0.ACLR
reset => data_out[4]~reg0.ACLR
reset => data_out[5]~reg0.ACLR
reset => data_out[6]~reg0.ACLR
reset => data_out[7]~reg0.ACLR
reset => data_out[8]~reg0.ACLR
data_in[0] => mem[0][0].DATAIN
data_in[0] => mem[1][0].DATAIN
data_in[0] => mem[2][0].DATAIN
data_in[0] => mem[3][0].DATAIN
data_in[0] => mem[4][0].DATAIN
data_in[0] => mem[5][0].DATAIN
data_in[0] => mem[6][0].DATAIN
data_in[0] => mem[7][0].DATAIN
data_in[0] => mem[8][0].DATAIN
data_in[0] => mem[9][0].DATAIN
data_in[0] => mem[10][0].DATAIN
data_in[0] => mem[11][0].DATAIN
data_in[0] => mem[12][0].DATAIN
data_in[0] => mem[13][0].DATAIN
data_in[0] => mem[14][0].DATAIN
data_in[0] => mem[15][0].DATAIN
data_in[0] => mem[16][0].DATAIN
data_in[0] => mem[17][0].DATAIN
data_in[0] => mem[18][0].DATAIN
data_in[0] => mem[19][0].DATAIN
data_in[0] => mem[20][0].DATAIN
data_in[0] => mem[21][0].DATAIN
data_in[0] => mem[22][0].DATAIN
data_in[0] => mem[23][0].DATAIN
data_in[0] => mem[24][0].DATAIN
data_in[0] => mem[25][0].DATAIN
data_in[0] => mem[26][0].DATAIN
data_in[0] => mem[27][0].DATAIN
data_in[0] => mem[28][0].DATAIN
data_in[0] => mem[29][0].DATAIN
data_in[0] => mem[30][0].DATAIN
data_in[0] => mem[31][0].DATAIN
data_in[0] => mem[32][0].DATAIN
data_in[0] => mem[33][0].DATAIN
data_in[0] => mem[34][0].DATAIN
data_in[0] => mem[35][0].DATAIN
data_in[0] => mem[36][0].DATAIN
data_in[0] => mem[37][0].DATAIN
data_in[0] => mem[38][0].DATAIN
data_in[0] => mem[39][0].DATAIN
data_in[0] => mem[40][0].DATAIN
data_in[0] => mem[41][0].DATAIN
data_in[0] => mem[42][0].DATAIN
data_in[0] => mem[43][0].DATAIN
data_in[0] => mem[44][0].DATAIN
data_in[0] => mem[45][0].DATAIN
data_in[0] => mem[46][0].DATAIN
data_in[0] => mem[47][0].DATAIN
data_in[0] => mem[48][0].DATAIN
data_in[0] => mem[49][0].DATAIN
data_in[0] => mem[50][0].DATAIN
data_in[0] => mem[51][0].DATAIN
data_in[0] => mem[52][0].DATAIN
data_in[0] => mem[53][0].DATAIN
data_in[0] => mem[54][0].DATAIN
data_in[0] => mem[55][0].DATAIN
data_in[0] => mem[56][0].DATAIN
data_in[0] => mem[57][0].DATAIN
data_in[0] => mem[58][0].DATAIN
data_in[0] => mem[59][0].DATAIN
data_in[0] => mem[60][0].DATAIN
data_in[0] => mem[61][0].DATAIN
data_in[0] => mem[62][0].DATAIN
data_in[0] => mem[63][0].DATAIN
data_in[1] => mem[0][1].DATAIN
data_in[1] => mem[1][1].DATAIN
data_in[1] => mem[2][1].DATAIN
data_in[1] => mem[3][1].DATAIN
data_in[1] => mem[4][1].DATAIN
data_in[1] => mem[5][1].DATAIN
data_in[1] => mem[6][1].DATAIN
data_in[1] => mem[7][1].DATAIN
data_in[1] => mem[8][1].DATAIN
data_in[1] => mem[9][1].DATAIN
data_in[1] => mem[10][1].DATAIN
data_in[1] => mem[11][1].DATAIN
data_in[1] => mem[12][1].DATAIN
data_in[1] => mem[13][1].DATAIN
data_in[1] => mem[14][1].DATAIN
data_in[1] => mem[15][1].DATAIN
data_in[1] => mem[16][1].DATAIN
data_in[1] => mem[17][1].DATAIN
data_in[1] => mem[18][1].DATAIN
data_in[1] => mem[19][1].DATAIN
data_in[1] => mem[20][1].DATAIN
data_in[1] => mem[21][1].DATAIN
data_in[1] => mem[22][1].DATAIN
data_in[1] => mem[23][1].DATAIN
data_in[1] => mem[24][1].DATAIN
data_in[1] => mem[25][1].DATAIN
data_in[1] => mem[26][1].DATAIN
data_in[1] => mem[27][1].DATAIN
data_in[1] => mem[28][1].DATAIN
data_in[1] => mem[29][1].DATAIN
data_in[1] => mem[30][1].DATAIN
data_in[1] => mem[31][1].DATAIN
data_in[1] => mem[32][1].DATAIN
data_in[1] => mem[33][1].DATAIN
data_in[1] => mem[34][1].DATAIN
data_in[1] => mem[35][1].DATAIN
data_in[1] => mem[36][1].DATAIN
data_in[1] => mem[37][1].DATAIN
data_in[1] => mem[38][1].DATAIN
data_in[1] => mem[39][1].DATAIN
data_in[1] => mem[40][1].DATAIN
data_in[1] => mem[41][1].DATAIN
data_in[1] => mem[42][1].DATAIN
data_in[1] => mem[43][1].DATAIN
data_in[1] => mem[44][1].DATAIN
data_in[1] => mem[45][1].DATAIN
data_in[1] => mem[46][1].DATAIN
data_in[1] => mem[47][1].DATAIN
data_in[1] => mem[48][1].DATAIN
data_in[1] => mem[49][1].DATAIN
data_in[1] => mem[50][1].DATAIN
data_in[1] => mem[51][1].DATAIN
data_in[1] => mem[52][1].DATAIN
data_in[1] => mem[53][1].DATAIN
data_in[1] => mem[54][1].DATAIN
data_in[1] => mem[55][1].DATAIN
data_in[1] => mem[56][1].DATAIN
data_in[1] => mem[57][1].DATAIN
data_in[1] => mem[58][1].DATAIN
data_in[1] => mem[59][1].DATAIN
data_in[1] => mem[60][1].DATAIN
data_in[1] => mem[61][1].DATAIN
data_in[1] => mem[62][1].DATAIN
data_in[1] => mem[63][1].DATAIN
data_in[2] => mem[0][2].DATAIN
data_in[2] => mem[1][2].DATAIN
data_in[2] => mem[2][2].DATAIN
data_in[2] => mem[3][2].DATAIN
data_in[2] => mem[4][2].DATAIN
data_in[2] => mem[5][2].DATAIN
data_in[2] => mem[6][2].DATAIN
data_in[2] => mem[7][2].DATAIN
data_in[2] => mem[8][2].DATAIN
data_in[2] => mem[9][2].DATAIN
data_in[2] => mem[10][2].DATAIN
data_in[2] => mem[11][2].DATAIN
data_in[2] => mem[12][2].DATAIN
data_in[2] => mem[13][2].DATAIN
data_in[2] => mem[14][2].DATAIN
data_in[2] => mem[15][2].DATAIN
data_in[2] => mem[16][2].DATAIN
data_in[2] => mem[17][2].DATAIN
data_in[2] => mem[18][2].DATAIN
data_in[2] => mem[19][2].DATAIN
data_in[2] => mem[20][2].DATAIN
data_in[2] => mem[21][2].DATAIN
data_in[2] => mem[22][2].DATAIN
data_in[2] => mem[23][2].DATAIN
data_in[2] => mem[24][2].DATAIN
data_in[2] => mem[25][2].DATAIN
data_in[2] => mem[26][2].DATAIN
data_in[2] => mem[27][2].DATAIN
data_in[2] => mem[28][2].DATAIN
data_in[2] => mem[29][2].DATAIN
data_in[2] => mem[30][2].DATAIN
data_in[2] => mem[31][2].DATAIN
data_in[2] => mem[32][2].DATAIN
data_in[2] => mem[33][2].DATAIN
data_in[2] => mem[34][2].DATAIN
data_in[2] => mem[35][2].DATAIN
data_in[2] => mem[36][2].DATAIN
data_in[2] => mem[37][2].DATAIN
data_in[2] => mem[38][2].DATAIN
data_in[2] => mem[39][2].DATAIN
data_in[2] => mem[40][2].DATAIN
data_in[2] => mem[41][2].DATAIN
data_in[2] => mem[42][2].DATAIN
data_in[2] => mem[43][2].DATAIN
data_in[2] => mem[44][2].DATAIN
data_in[2] => mem[45][2].DATAIN
data_in[2] => mem[46][2].DATAIN
data_in[2] => mem[47][2].DATAIN
data_in[2] => mem[48][2].DATAIN
data_in[2] => mem[49][2].DATAIN
data_in[2] => mem[50][2].DATAIN
data_in[2] => mem[51][2].DATAIN
data_in[2] => mem[52][2].DATAIN
data_in[2] => mem[53][2].DATAIN
data_in[2] => mem[54][2].DATAIN
data_in[2] => mem[55][2].DATAIN
data_in[2] => mem[56][2].DATAIN
data_in[2] => mem[57][2].DATAIN
data_in[2] => mem[58][2].DATAIN
data_in[2] => mem[59][2].DATAIN
data_in[2] => mem[60][2].DATAIN
data_in[2] => mem[61][2].DATAIN
data_in[2] => mem[62][2].DATAIN
data_in[2] => mem[63][2].DATAIN
data_in[3] => mem[0][3].DATAIN
data_in[3] => mem[1][3].DATAIN
data_in[3] => mem[2][3].DATAIN
data_in[3] => mem[3][3].DATAIN
data_in[3] => mem[4][3].DATAIN
data_in[3] => mem[5][3].DATAIN
data_in[3] => mem[6][3].DATAIN
data_in[3] => mem[7][3].DATAIN
data_in[3] => mem[8][3].DATAIN
data_in[3] => mem[9][3].DATAIN
data_in[3] => mem[10][3].DATAIN
data_in[3] => mem[11][3].DATAIN
data_in[3] => mem[12][3].DATAIN
data_in[3] => mem[13][3].DATAIN
data_in[3] => mem[14][3].DATAIN
data_in[3] => mem[15][3].DATAIN
data_in[3] => mem[16][3].DATAIN
data_in[3] => mem[17][3].DATAIN
data_in[3] => mem[18][3].DATAIN
data_in[3] => mem[19][3].DATAIN
data_in[3] => mem[20][3].DATAIN
data_in[3] => mem[21][3].DATAIN
data_in[3] => mem[22][3].DATAIN
data_in[3] => mem[23][3].DATAIN
data_in[3] => mem[24][3].DATAIN
data_in[3] => mem[25][3].DATAIN
data_in[3] => mem[26][3].DATAIN
data_in[3] => mem[27][3].DATAIN
data_in[3] => mem[28][3].DATAIN
data_in[3] => mem[29][3].DATAIN
data_in[3] => mem[30][3].DATAIN
data_in[3] => mem[31][3].DATAIN
data_in[3] => mem[32][3].DATAIN
data_in[3] => mem[33][3].DATAIN
data_in[3] => mem[34][3].DATAIN
data_in[3] => mem[35][3].DATAIN
data_in[3] => mem[36][3].DATAIN
data_in[3] => mem[37][3].DATAIN
data_in[3] => mem[38][3].DATAIN
data_in[3] => mem[39][3].DATAIN
data_in[3] => mem[40][3].DATAIN
data_in[3] => mem[41][3].DATAIN
data_in[3] => mem[42][3].DATAIN
data_in[3] => mem[43][3].DATAIN
data_in[3] => mem[44][3].DATAIN
data_in[3] => mem[45][3].DATAIN
data_in[3] => mem[46][3].DATAIN
data_in[3] => mem[47][3].DATAIN
data_in[3] => mem[48][3].DATAIN
data_in[3] => mem[49][3].DATAIN
data_in[3] => mem[50][3].DATAIN
data_in[3] => mem[51][3].DATAIN
data_in[3] => mem[52][3].DATAIN
data_in[3] => mem[53][3].DATAIN
data_in[3] => mem[54][3].DATAIN
data_in[3] => mem[55][3].DATAIN
data_in[3] => mem[56][3].DATAIN
data_in[3] => mem[57][3].DATAIN
data_in[3] => mem[58][3].DATAIN
data_in[3] => mem[59][3].DATAIN
data_in[3] => mem[60][3].DATAIN
data_in[3] => mem[61][3].DATAIN
data_in[3] => mem[62][3].DATAIN
data_in[3] => mem[63][3].DATAIN
data_in[4] => mem[0][4].DATAIN
data_in[4] => mem[1][4].DATAIN
data_in[4] => mem[2][4].DATAIN
data_in[4] => mem[3][4].DATAIN
data_in[4] => mem[4][4].DATAIN
data_in[4] => mem[5][4].DATAIN
data_in[4] => mem[6][4].DATAIN
data_in[4] => mem[7][4].DATAIN
data_in[4] => mem[8][4].DATAIN
data_in[4] => mem[9][4].DATAIN
data_in[4] => mem[10][4].DATAIN
data_in[4] => mem[11][4].DATAIN
data_in[4] => mem[12][4].DATAIN
data_in[4] => mem[13][4].DATAIN
data_in[4] => mem[14][4].DATAIN
data_in[4] => mem[15][4].DATAIN
data_in[4] => mem[16][4].DATAIN
data_in[4] => mem[17][4].DATAIN
data_in[4] => mem[18][4].DATAIN
data_in[4] => mem[19][4].DATAIN
data_in[4] => mem[20][4].DATAIN
data_in[4] => mem[21][4].DATAIN
data_in[4] => mem[22][4].DATAIN
data_in[4] => mem[23][4].DATAIN
data_in[4] => mem[24][4].DATAIN
data_in[4] => mem[25][4].DATAIN
data_in[4] => mem[26][4].DATAIN
data_in[4] => mem[27][4].DATAIN
data_in[4] => mem[28][4].DATAIN
data_in[4] => mem[29][4].DATAIN
data_in[4] => mem[30][4].DATAIN
data_in[4] => mem[31][4].DATAIN
data_in[4] => mem[32][4].DATAIN
data_in[4] => mem[33][4].DATAIN
data_in[4] => mem[34][4].DATAIN
data_in[4] => mem[35][4].DATAIN
data_in[4] => mem[36][4].DATAIN
data_in[4] => mem[37][4].DATAIN
data_in[4] => mem[38][4].DATAIN
data_in[4] => mem[39][4].DATAIN
data_in[4] => mem[40][4].DATAIN
data_in[4] => mem[41][4].DATAIN
data_in[4] => mem[42][4].DATAIN
data_in[4] => mem[43][4].DATAIN
data_in[4] => mem[44][4].DATAIN
data_in[4] => mem[45][4].DATAIN
data_in[4] => mem[46][4].DATAIN
data_in[4] => mem[47][4].DATAIN
data_in[4] => mem[48][4].DATAIN
data_in[4] => mem[49][4].DATAIN
data_in[4] => mem[50][4].DATAIN
data_in[4] => mem[51][4].DATAIN
data_in[4] => mem[52][4].DATAIN
data_in[4] => mem[53][4].DATAIN
data_in[4] => mem[54][4].DATAIN
data_in[4] => mem[55][4].DATAIN
data_in[4] => mem[56][4].DATAIN
data_in[4] => mem[57][4].DATAIN
data_in[4] => mem[58][4].DATAIN
data_in[4] => mem[59][4].DATAIN
data_in[4] => mem[60][4].DATAIN
data_in[4] => mem[61][4].DATAIN
data_in[4] => mem[62][4].DATAIN
data_in[4] => mem[63][4].DATAIN
data_in[5] => mem[0][5].DATAIN
data_in[5] => mem[1][5].DATAIN
data_in[5] => mem[2][5].DATAIN
data_in[5] => mem[3][5].DATAIN
data_in[5] => mem[4][5].DATAIN
data_in[5] => mem[5][5].DATAIN
data_in[5] => mem[6][5].DATAIN
data_in[5] => mem[7][5].DATAIN
data_in[5] => mem[8][5].DATAIN
data_in[5] => mem[9][5].DATAIN
data_in[5] => mem[10][5].DATAIN
data_in[5] => mem[11][5].DATAIN
data_in[5] => mem[12][5].DATAIN
data_in[5] => mem[13][5].DATAIN
data_in[5] => mem[14][5].DATAIN
data_in[5] => mem[15][5].DATAIN
data_in[5] => mem[16][5].DATAIN
data_in[5] => mem[17][5].DATAIN
data_in[5] => mem[18][5].DATAIN
data_in[5] => mem[19][5].DATAIN
data_in[5] => mem[20][5].DATAIN
data_in[5] => mem[21][5].DATAIN
data_in[5] => mem[22][5].DATAIN
data_in[5] => mem[23][5].DATAIN
data_in[5] => mem[24][5].DATAIN
data_in[5] => mem[25][5].DATAIN
data_in[5] => mem[26][5].DATAIN
data_in[5] => mem[27][5].DATAIN
data_in[5] => mem[28][5].DATAIN
data_in[5] => mem[29][5].DATAIN
data_in[5] => mem[30][5].DATAIN
data_in[5] => mem[31][5].DATAIN
data_in[5] => mem[32][5].DATAIN
data_in[5] => mem[33][5].DATAIN
data_in[5] => mem[34][5].DATAIN
data_in[5] => mem[35][5].DATAIN
data_in[5] => mem[36][5].DATAIN
data_in[5] => mem[37][5].DATAIN
data_in[5] => mem[38][5].DATAIN
data_in[5] => mem[39][5].DATAIN
data_in[5] => mem[40][5].DATAIN
data_in[5] => mem[41][5].DATAIN
data_in[5] => mem[42][5].DATAIN
data_in[5] => mem[43][5].DATAIN
data_in[5] => mem[44][5].DATAIN
data_in[5] => mem[45][5].DATAIN
data_in[5] => mem[46][5].DATAIN
data_in[5] => mem[47][5].DATAIN
data_in[5] => mem[48][5].DATAIN
data_in[5] => mem[49][5].DATAIN
data_in[5] => mem[50][5].DATAIN
data_in[5] => mem[51][5].DATAIN
data_in[5] => mem[52][5].DATAIN
data_in[5] => mem[53][5].DATAIN
data_in[5] => mem[54][5].DATAIN
data_in[5] => mem[55][5].DATAIN
data_in[5] => mem[56][5].DATAIN
data_in[5] => mem[57][5].DATAIN
data_in[5] => mem[58][5].DATAIN
data_in[5] => mem[59][5].DATAIN
data_in[5] => mem[60][5].DATAIN
data_in[5] => mem[61][5].DATAIN
data_in[5] => mem[62][5].DATAIN
data_in[5] => mem[63][5].DATAIN
data_in[6] => mem[0][6].DATAIN
data_in[6] => mem[1][6].DATAIN
data_in[6] => mem[2][6].DATAIN
data_in[6] => mem[3][6].DATAIN
data_in[6] => mem[4][6].DATAIN
data_in[6] => mem[5][6].DATAIN
data_in[6] => mem[6][6].DATAIN
data_in[6] => mem[7][6].DATAIN
data_in[6] => mem[8][6].DATAIN
data_in[6] => mem[9][6].DATAIN
data_in[6] => mem[10][6].DATAIN
data_in[6] => mem[11][6].DATAIN
data_in[6] => mem[12][6].DATAIN
data_in[6] => mem[13][6].DATAIN
data_in[6] => mem[14][6].DATAIN
data_in[6] => mem[15][6].DATAIN
data_in[6] => mem[16][6].DATAIN
data_in[6] => mem[17][6].DATAIN
data_in[6] => mem[18][6].DATAIN
data_in[6] => mem[19][6].DATAIN
data_in[6] => mem[20][6].DATAIN
data_in[6] => mem[21][6].DATAIN
data_in[6] => mem[22][6].DATAIN
data_in[6] => mem[23][6].DATAIN
data_in[6] => mem[24][6].DATAIN
data_in[6] => mem[25][6].DATAIN
data_in[6] => mem[26][6].DATAIN
data_in[6] => mem[27][6].DATAIN
data_in[6] => mem[28][6].DATAIN
data_in[6] => mem[29][6].DATAIN
data_in[6] => mem[30][6].DATAIN
data_in[6] => mem[31][6].DATAIN
data_in[6] => mem[32][6].DATAIN
data_in[6] => mem[33][6].DATAIN
data_in[6] => mem[34][6].DATAIN
data_in[6] => mem[35][6].DATAIN
data_in[6] => mem[36][6].DATAIN
data_in[6] => mem[37][6].DATAIN
data_in[6] => mem[38][6].DATAIN
data_in[6] => mem[39][6].DATAIN
data_in[6] => mem[40][6].DATAIN
data_in[6] => mem[41][6].DATAIN
data_in[6] => mem[42][6].DATAIN
data_in[6] => mem[43][6].DATAIN
data_in[6] => mem[44][6].DATAIN
data_in[6] => mem[45][6].DATAIN
data_in[6] => mem[46][6].DATAIN
data_in[6] => mem[47][6].DATAIN
data_in[6] => mem[48][6].DATAIN
data_in[6] => mem[49][6].DATAIN
data_in[6] => mem[50][6].DATAIN
data_in[6] => mem[51][6].DATAIN
data_in[6] => mem[52][6].DATAIN
data_in[6] => mem[53][6].DATAIN
data_in[6] => mem[54][6].DATAIN
data_in[6] => mem[55][6].DATAIN
data_in[6] => mem[56][6].DATAIN
data_in[6] => mem[57][6].DATAIN
data_in[6] => mem[58][6].DATAIN
data_in[6] => mem[59][6].DATAIN
data_in[6] => mem[60][6].DATAIN
data_in[6] => mem[61][6].DATAIN
data_in[6] => mem[62][6].DATAIN
data_in[6] => mem[63][6].DATAIN
data_in[7] => mem[0][7].DATAIN
data_in[7] => mem[1][7].DATAIN
data_in[7] => mem[2][7].DATAIN
data_in[7] => mem[3][7].DATAIN
data_in[7] => mem[4][7].DATAIN
data_in[7] => mem[5][7].DATAIN
data_in[7] => mem[6][7].DATAIN
data_in[7] => mem[7][7].DATAIN
data_in[7] => mem[8][7].DATAIN
data_in[7] => mem[9][7].DATAIN
data_in[7] => mem[10][7].DATAIN
data_in[7] => mem[11][7].DATAIN
data_in[7] => mem[12][7].DATAIN
data_in[7] => mem[13][7].DATAIN
data_in[7] => mem[14][7].DATAIN
data_in[7] => mem[15][7].DATAIN
data_in[7] => mem[16][7].DATAIN
data_in[7] => mem[17][7].DATAIN
data_in[7] => mem[18][7].DATAIN
data_in[7] => mem[19][7].DATAIN
data_in[7] => mem[20][7].DATAIN
data_in[7] => mem[21][7].DATAIN
data_in[7] => mem[22][7].DATAIN
data_in[7] => mem[23][7].DATAIN
data_in[7] => mem[24][7].DATAIN
data_in[7] => mem[25][7].DATAIN
data_in[7] => mem[26][7].DATAIN
data_in[7] => mem[27][7].DATAIN
data_in[7] => mem[28][7].DATAIN
data_in[7] => mem[29][7].DATAIN
data_in[7] => mem[30][7].DATAIN
data_in[7] => mem[31][7].DATAIN
data_in[7] => mem[32][7].DATAIN
data_in[7] => mem[33][7].DATAIN
data_in[7] => mem[34][7].DATAIN
data_in[7] => mem[35][7].DATAIN
data_in[7] => mem[36][7].DATAIN
data_in[7] => mem[37][7].DATAIN
data_in[7] => mem[38][7].DATAIN
data_in[7] => mem[39][7].DATAIN
data_in[7] => mem[40][7].DATAIN
data_in[7] => mem[41][7].DATAIN
data_in[7] => mem[42][7].DATAIN
data_in[7] => mem[43][7].DATAIN
data_in[7] => mem[44][7].DATAIN
data_in[7] => mem[45][7].DATAIN
data_in[7] => mem[46][7].DATAIN
data_in[7] => mem[47][7].DATAIN
data_in[7] => mem[48][7].DATAIN
data_in[7] => mem[49][7].DATAIN
data_in[7] => mem[50][7].DATAIN
data_in[7] => mem[51][7].DATAIN
data_in[7] => mem[52][7].DATAIN
data_in[7] => mem[53][7].DATAIN
data_in[7] => mem[54][7].DATAIN
data_in[7] => mem[55][7].DATAIN
data_in[7] => mem[56][7].DATAIN
data_in[7] => mem[57][7].DATAIN
data_in[7] => mem[58][7].DATAIN
data_in[7] => mem[59][7].DATAIN
data_in[7] => mem[60][7].DATAIN
data_in[7] => mem[61][7].DATAIN
data_in[7] => mem[62][7].DATAIN
data_in[7] => mem[63][7].DATAIN
data_in[8] => mem[0][8].DATAIN
data_in[8] => mem[1][8].DATAIN
data_in[8] => mem[2][8].DATAIN
data_in[8] => mem[3][8].DATAIN
data_in[8] => mem[4][8].DATAIN
data_in[8] => mem[5][8].DATAIN
data_in[8] => mem[6][8].DATAIN
data_in[8] => mem[7][8].DATAIN
data_in[8] => mem[8][8].DATAIN
data_in[8] => mem[9][8].DATAIN
data_in[8] => mem[10][8].DATAIN
data_in[8] => mem[11][8].DATAIN
data_in[8] => mem[12][8].DATAIN
data_in[8] => mem[13][8].DATAIN
data_in[8] => mem[14][8].DATAIN
data_in[8] => mem[15][8].DATAIN
data_in[8] => mem[16][8].DATAIN
data_in[8] => mem[17][8].DATAIN
data_in[8] => mem[18][8].DATAIN
data_in[8] => mem[19][8].DATAIN
data_in[8] => mem[20][8].DATAIN
data_in[8] => mem[21][8].DATAIN
data_in[8] => mem[22][8].DATAIN
data_in[8] => mem[23][8].DATAIN
data_in[8] => mem[24][8].DATAIN
data_in[8] => mem[25][8].DATAIN
data_in[8] => mem[26][8].DATAIN
data_in[8] => mem[27][8].DATAIN
data_in[8] => mem[28][8].DATAIN
data_in[8] => mem[29][8].DATAIN
data_in[8] => mem[30][8].DATAIN
data_in[8] => mem[31][8].DATAIN
data_in[8] => mem[32][8].DATAIN
data_in[8] => mem[33][8].DATAIN
data_in[8] => mem[34][8].DATAIN
data_in[8] => mem[35][8].DATAIN
data_in[8] => mem[36][8].DATAIN
data_in[8] => mem[37][8].DATAIN
data_in[8] => mem[38][8].DATAIN
data_in[8] => mem[39][8].DATAIN
data_in[8] => mem[40][8].DATAIN
data_in[8] => mem[41][8].DATAIN
data_in[8] => mem[42][8].DATAIN
data_in[8] => mem[43][8].DATAIN
data_in[8] => mem[44][8].DATAIN
data_in[8] => mem[45][8].DATAIN
data_in[8] => mem[46][8].DATAIN
data_in[8] => mem[47][8].DATAIN
data_in[8] => mem[48][8].DATAIN
data_in[8] => mem[49][8].DATAIN
data_in[8] => mem[50][8].DATAIN
data_in[8] => mem[51][8].DATAIN
data_in[8] => mem[52][8].DATAIN
data_in[8] => mem[53][8].DATAIN
data_in[8] => mem[54][8].DATAIN
data_in[8] => mem[55][8].DATAIN
data_in[8] => mem[56][8].DATAIN
data_in[8] => mem[57][8].DATAIN
data_in[8] => mem[58][8].DATAIN
data_in[8] => mem[59][8].DATAIN
data_in[8] => mem[60][8].DATAIN
data_in[8] => mem[61][8].DATAIN
data_in[8] => mem[62][8].DATAIN
data_in[8] => mem[63][8].DATAIN
wr_ptr[0] => Decoder0.IN5
wr_ptr[1] => Decoder0.IN4
wr_ptr[2] => Decoder0.IN3
wr_ptr[3] => Decoder0.IN2
wr_ptr[4] => Decoder0.IN1
wr_ptr[5] => Decoder0.IN0
rd_ptr[0] => Mux0.IN5
rd_ptr[0] => Mux1.IN5
rd_ptr[0] => Mux2.IN5
rd_ptr[0] => Mux3.IN5
rd_ptr[0] => Mux4.IN5
rd_ptr[0] => Mux5.IN5
rd_ptr[0] => Mux6.IN5
rd_ptr[0] => Mux7.IN5
rd_ptr[0] => Mux8.IN5
rd_ptr[1] => Mux0.IN4
rd_ptr[1] => Mux1.IN4
rd_ptr[1] => Mux2.IN4
rd_ptr[1] => Mux3.IN4
rd_ptr[1] => Mux4.IN4
rd_ptr[1] => Mux5.IN4
rd_ptr[1] => Mux6.IN4
rd_ptr[1] => Mux7.IN4
rd_ptr[1] => Mux8.IN4
rd_ptr[2] => Mux0.IN3
rd_ptr[2] => Mux1.IN3
rd_ptr[2] => Mux2.IN3
rd_ptr[2] => Mux3.IN3
rd_ptr[2] => Mux4.IN3
rd_ptr[2] => Mux5.IN3
rd_ptr[2] => Mux6.IN3
rd_ptr[2] => Mux7.IN3
rd_ptr[2] => Mux8.IN3
rd_ptr[3] => Mux0.IN2
rd_ptr[3] => Mux1.IN2
rd_ptr[3] => Mux2.IN2
rd_ptr[3] => Mux3.IN2
rd_ptr[3] => Mux4.IN2
rd_ptr[3] => Mux5.IN2
rd_ptr[3] => Mux6.IN2
rd_ptr[3] => Mux7.IN2
rd_ptr[3] => Mux8.IN2
rd_ptr[4] => Mux0.IN1
rd_ptr[4] => Mux1.IN1
rd_ptr[4] => Mux2.IN1
rd_ptr[4] => Mux3.IN1
rd_ptr[4] => Mux4.IN1
rd_ptr[4] => Mux5.IN1
rd_ptr[4] => Mux6.IN1
rd_ptr[4] => Mux7.IN1
rd_ptr[4] => Mux8.IN1
rd_ptr[5] => Mux0.IN0
rd_ptr[5] => Mux1.IN0
rd_ptr[5] => Mux2.IN0
rd_ptr[5] => Mux3.IN0
rd_ptr[5] => Mux4.IN0
rd_ptr[5] => Mux5.IN0
rd_ptr[5] => Mux6.IN0
rd_ptr[5] => Mux7.IN0
rd_ptr[5] => Mux8.IN0
data_out[0] <= data_out[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[1] <= data_out[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[2] <= data_out[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[3] <= data_out[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[4] <= data_out[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[5] <= data_out[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[6] <= data_out[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[7] <= data_out[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[8] <= data_out[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|fifo_tx:tx_data
clock => clock.IN1
reset => reset.IN1
wr_en => always0.IN1
wr_en => Selector1.IN2
wr_en => next_state_data_write.10.DATAB
rd_en => always4.IN1
rd_en => Selector4.IN3
rd_en => always3.IN0
rd_en => next_state_data_read.11.DATAB
data_in[0] => data_in[0].IN1
data_in[1] => data_in[1].IN1
data_in[2] => data_in[2].IN1
data_in[3] => data_in[3].IN1
data_in[4] => data_in[4].IN1
data_in[5] => data_in[5].IN1
data_in[6] => data_in[6].IN1
data_in[7] => data_in[7].IN1
data_in[8] => data_in[8].IN1
f_full <= f_full~reg0.DB_MAX_OUTPUT_PORT_TYPE
write_tx <= write_tx~reg0.DB_MAX_OUTPUT_PORT_TYPE
f_empty <= f_empty~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[0] <= mem_data:mem_dta_fifo_tx.data_out
data_out[1] <= mem_data:mem_dta_fifo_tx.data_out
data_out[2] <= mem_data:mem_dta_fifo_tx.data_out
data_out[3] <= mem_data:mem_dta_fifo_tx.data_out
data_out[4] <= mem_data:mem_dta_fifo_tx.data_out
data_out[5] <= mem_data:mem_dta_fifo_tx.data_out
data_out[6] <= mem_data:mem_dta_fifo_tx.data_out
data_out[7] <= mem_data:mem_dta_fifo_tx.data_out
data_out[8] <= mem_data:mem_dta_fifo_tx.data_out
counter[0] <= counter[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[1] <= counter[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[2] <= counter[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[3] <= counter[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[4] <= counter[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter[5] <= counter[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|spw_ulight_con_top_x:A_SPW_TOP|fifo_tx:tx_data|mem_data:mem_dta_fifo_tx
clock => data_out[0]~reg0.CLK
clock => data_out[1]~reg0.CLK
clock => data_out[2]~reg0.CLK
clock => data_out[3]~reg0.CLK
clock => data_out[4]~reg0.CLK
clock => data_out[5]~reg0.CLK
clock => data_out[6]~reg0.CLK
clock => data_out[7]~reg0.CLK
clock => data_out[8]~reg0.CLK
clock => mem[63][0].CLK
clock => mem[63][1].CLK
clock => mem[63][2].CLK
clock => mem[63][3].CLK
clock => mem[63][4].CLK
clock => mem[63][5].CLK
clock => mem[63][6].CLK
clock => mem[63][7].CLK
clock => mem[63][8].CLK
clock => mem[62][0].CLK
clock => mem[62][1].CLK
clock => mem[62][2].CLK
clock => mem[62][3].CLK
clock => mem[62][4].CLK
clock => mem[62][5].CLK
clock => mem[62][6].CLK
clock => mem[62][7].CLK
clock => mem[62][8].CLK
clock => mem[61][0].CLK
clock => mem[61][1].CLK
clock => mem[61][2].CLK
clock => mem[61][3].CLK
clock => mem[61][4].CLK
clock => mem[61][5].CLK
clock => mem[61][6].CLK
clock => mem[61][7].CLK
clock => mem[61][8].CLK
clock => mem[60][0].CLK
clock => mem[60][1].CLK
clock => mem[60][2].CLK
clock => mem[60][3].CLK
clock => mem[60][4].CLK
clock => mem[60][5].CLK
clock => mem[60][6].CLK
clock => mem[60][7].CLK
clock => mem[60][8].CLK
clock => mem[59][0].CLK
clock => mem[59][1].CLK
clock => mem[59][2].CLK
clock => mem[59][3].CLK
clock => mem[59][4].CLK
clock => mem[59][5].CLK
clock => mem[59][6].CLK
clock => mem[59][7].CLK
clock => mem[59][8].CLK
clock => mem[58][0].CLK
clock => mem[58][1].CLK
clock => mem[58][2].CLK
clock => mem[58][3].CLK
clock => mem[58][4].CLK
clock => mem[58][5].CLK
clock => mem[58][6].CLK
clock => mem[58][7].CLK
clock => mem[58][8].CLK
clock => mem[57][0].CLK
clock => mem[57][1].CLK
clock => mem[57][2].CLK
clock => mem[57][3].CLK
clock => mem[57][4].CLK
clock => mem[57][5].CLK
clock => mem[57][6].CLK
clock => mem[57][7].CLK
clock => mem[57][8].CLK
clock => mem[56][0].CLK
clock => mem[56][1].CLK
clock => mem[56][2].CLK
clock => mem[56][3].CLK
clock => mem[56][4].CLK
clock => mem[56][5].CLK
clock => mem[56][6].CLK
clock => mem[56][7].CLK
clock => mem[56][8].CLK
clock => mem[55][0].CLK
clock => mem[55][1].CLK
clock => mem[55][2].CLK
clock => mem[55][3].CLK
clock => mem[55][4].CLK
clock => mem[55][5].CLK
clock => mem[55][6].CLK
clock => mem[55][7].CLK
clock => mem[55][8].CLK
clock => mem[54][0].CLK
clock => mem[54][1].CLK
clock => mem[54][2].CLK
clock => mem[54][3].CLK
clock => mem[54][4].CLK
clock => mem[54][5].CLK
clock => mem[54][6].CLK
clock => mem[54][7].CLK
clock => mem[54][8].CLK
clock => mem[53][0].CLK
clock => mem[53][1].CLK
clock => mem[53][2].CLK
clock => mem[53][3].CLK
clock => mem[53][4].CLK
clock => mem[53][5].CLK
clock => mem[53][6].CLK
clock => mem[53][7].CLK
clock => mem[53][8].CLK
clock => mem[52][0].CLK
clock => mem[52][1].CLK
clock => mem[52][2].CLK
clock => mem[52][3].CLK
clock => mem[52][4].CLK
clock => mem[52][5].CLK
clock => mem[52][6].CLK
clock => mem[52][7].CLK
clock => mem[52][8].CLK
clock => mem[51][0].CLK
clock => mem[51][1].CLK
clock => mem[51][2].CLK
clock => mem[51][3].CLK
clock => mem[51][4].CLK
clock => mem[51][5].CLK
clock => mem[51][6].CLK
clock => mem[51][7].CLK
clock => mem[51][8].CLK
clock => mem[50][0].CLK
clock => mem[50][1].CLK
clock => mem[50][2].CLK
clock => mem[50][3].CLK
clock => mem[50][4].CLK
clock => mem[50][5].CLK
clock => mem[50][6].CLK
clock => mem[50][7].CLK
clock => mem[50][8].CLK
clock => mem[49][0].CLK
clock => mem[49][1].CLK
clock => mem[49][2].CLK
clock => mem[49][3].CLK
clock => mem[49][4].CLK
clock => mem[49][5].CLK
clock => mem[49][6].CLK
clock => mem[49][7].CLK
clock => mem[49][8].CLK
clock => mem[48][0].CLK
clock => mem[48][1].CLK
clock => mem[48][2].CLK
clock => mem[48][3].CLK
clock => mem[48][4].CLK
clock => mem[48][5].CLK
clock => mem[48][6].CLK
clock => mem[48][7].CLK
clock => mem[48][8].CLK
clock => mem[47][0].CLK
clock => mem[47][1].CLK
clock => mem[47][2].CLK
clock => mem[47][3].CLK
clock => mem[47][4].CLK
clock => mem[47][5].CLK
clock => mem[47][6].CLK
clock => mem[47][7].CLK
clock => mem[47][8].CLK
clock => mem[46][0].CLK
clock => mem[46][1].CLK
clock => mem[46][2].CLK
clock => mem[46][3].CLK
clock => mem[46][4].CLK
clock => mem[46][5].CLK
clock => mem[46][6].CLK
clock => mem[46][7].CLK
clock => mem[46][8].CLK
clock => mem[45][0].CLK
clock => mem[45][1].CLK
clock => mem[45][2].CLK
clock => mem[45][3].CLK
clock => mem[45][4].CLK
clock => mem[45][5].CLK
clock => mem[45][6].CLK
clock => mem[45][7].CLK
clock => mem[45][8].CLK
clock => mem[44][0].CLK
clock => mem[44][1].CLK
clock => mem[44][2].CLK
clock => mem[44][3].CLK
clock => mem[44][4].CLK
clock => mem[44][5].CLK
clock => mem[44][6].CLK
clock => mem[44][7].CLK
clock => mem[44][8].CLK
clock => mem[43][0].CLK
clock => mem[43][1].CLK
clock => mem[43][2].CLK
clock => mem[43][3].CLK
clock => mem[43][4].CLK
clock => mem[43][5].CLK
clock => mem[43][6].CLK
clock => mem[43][7].CLK
clock => mem[43][8].CLK
clock => mem[42][0].CLK
clock => mem[42][1].CLK
clock => mem[42][2].CLK
clock => mem[42][3].CLK
clock => mem[42][4].CLK
clock => mem[42][5].CLK
clock => mem[42][6].CLK
clock => mem[42][7].CLK
clock => mem[42][8].CLK
clock => mem[41][0].CLK
clock => mem[41][1].CLK
clock => mem[41][2].CLK
clock => mem[41][3].CLK
clock => mem[41][4].CLK
clock => mem[41][5].CLK
clock => mem[41][6].CLK
clock => mem[41][7].CLK
clock => mem[41][8].CLK
clock => mem[40][0].CLK
clock => mem[40][1].CLK
clock => mem[40][2].CLK
clock => mem[40][3].CLK
clock => mem[40][4].CLK
clock => mem[40][5].CLK
clock => mem[40][6].CLK
clock => mem[40][7].CLK
clock => mem[40][8].CLK
clock => mem[39][0].CLK
clock => mem[39][1].CLK
clock => mem[39][2].CLK
clock => mem[39][3].CLK
clock => mem[39][4].CLK
clock => mem[39][5].CLK
clock => mem[39][6].CLK
clock => mem[39][7].CLK
clock => mem[39][8].CLK
clock => mem[38][0].CLK
clock => mem[38][1].CLK
clock => mem[38][2].CLK
clock => mem[38][3].CLK
clock => mem[38][4].CLK
clock => mem[38][5].CLK
clock => mem[38][6].CLK
clock => mem[38][7].CLK
clock => mem[38][8].CLK
clock => mem[37][0].CLK
clock => mem[37][1].CLK
clock => mem[37][2].CLK
clock => mem[37][3].CLK
clock => mem[37][4].CLK
clock => mem[37][5].CLK
clock => mem[37][6].CLK
clock => mem[37][7].CLK
clock => mem[37][8].CLK
clock => mem[36][0].CLK
clock => mem[36][1].CLK
clock => mem[36][2].CLK
clock => mem[36][3].CLK
clock => mem[36][4].CLK
clock => mem[36][5].CLK
clock => mem[36][6].CLK
clock => mem[36][7].CLK
clock => mem[36][8].CLK
clock => mem[35][0].CLK
clock => mem[35][1].CLK
clock => mem[35][2].CLK
clock => mem[35][3].CLK
clock => mem[35][4].CLK
clock => mem[35][5].CLK
clock => mem[35][6].CLK
clock => mem[35][7].CLK
clock => mem[35][8].CLK
clock => mem[34][0].CLK
clock => mem[34][1].CLK
clock => mem[34][2].CLK
clock => mem[34][3].CLK
clock => mem[34][4].CLK
clock => mem[34][5].CLK
clock => mem[34][6].CLK
clock => mem[34][7].CLK
clock => mem[34][8].CLK
clock => mem[33][0].CLK
clock => mem[33][1].CLK
clock => mem[33][2].CLK
clock => mem[33][3].CLK
clock => mem[33][4].CLK
clock => mem[33][5].CLK
clock => mem[33][6].CLK
clock => mem[33][7].CLK
clock => mem[33][8].CLK
clock => mem[32][0].CLK
clock => mem[32][1].CLK
clock => mem[32][2].CLK
clock => mem[32][3].CLK
clock => mem[32][4].CLK
clock => mem[32][5].CLK
clock => mem[32][6].CLK
clock => mem[32][7].CLK
clock => mem[32][8].CLK
clock => mem[31][0].CLK
clock => mem[31][1].CLK
clock => mem[31][2].CLK
clock => mem[31][3].CLK
clock => mem[31][4].CLK
clock => mem[31][5].CLK
clock => mem[31][6].CLK
clock => mem[31][7].CLK
clock => mem[31][8].CLK
clock => mem[30][0].CLK
clock => mem[30][1].CLK
clock => mem[30][2].CLK
clock => mem[30][3].CLK
clock => mem[30][4].CLK
clock => mem[30][5].CLK
clock => mem[30][6].CLK
clock => mem[30][7].CLK
clock => mem[30][8].CLK
clock => mem[29][0].CLK
clock => mem[29][1].CLK
clock => mem[29][2].CLK
clock => mem[29][3].CLK
clock => mem[29][4].CLK
clock => mem[29][5].CLK
clock => mem[29][6].CLK
clock => mem[29][7].CLK
clock => mem[29][8].CLK
clock => mem[28][0].CLK
clock => mem[28][1].CLK
clock => mem[28][2].CLK
clock => mem[28][3].CLK
clock => mem[28][4].CLK
clock => mem[28][5].CLK
clock => mem[28][6].CLK
clock => mem[28][7].CLK
clock => mem[28][8].CLK
clock => mem[27][0].CLK
clock => mem[27][1].CLK
clock => mem[27][2].CLK
clock => mem[27][3].CLK
clock => mem[27][4].CLK
clock => mem[27][5].CLK
clock => mem[27][6].CLK
clock => mem[27][7].CLK
clock => mem[27][8].CLK
clock => mem[26][0].CLK
clock => mem[26][1].CLK
clock => mem[26][2].CLK
clock => mem[26][3].CLK
clock => mem[26][4].CLK
clock => mem[26][5].CLK
clock => mem[26][6].CLK
clock => mem[26][7].CLK
clock => mem[26][8].CLK
clock => mem[25][0].CLK
clock => mem[25][1].CLK
clock => mem[25][2].CLK
clock => mem[25][3].CLK
clock => mem[25][4].CLK
clock => mem[25][5].CLK
clock => mem[25][6].CLK
clock => mem[25][7].CLK
clock => mem[25][8].CLK
clock => mem[24][0].CLK
clock => mem[24][1].CLK
clock => mem[24][2].CLK
clock => mem[24][3].CLK
clock => mem[24][4].CLK
clock => mem[24][5].CLK
clock => mem[24][6].CLK
clock => mem[24][7].CLK
clock => mem[24][8].CLK
clock => mem[23][0].CLK
clock => mem[23][1].CLK
clock => mem[23][2].CLK
clock => mem[23][3].CLK
clock => mem[23][4].CLK
clock => mem[23][5].CLK
clock => mem[23][6].CLK
clock => mem[23][7].CLK
clock => mem[23][8].CLK
clock => mem[22][0].CLK
clock => mem[22][1].CLK
clock => mem[22][2].CLK
clock => mem[22][3].CLK
clock => mem[22][4].CLK
clock => mem[22][5].CLK
clock => mem[22][6].CLK
clock => mem[22][7].CLK
clock => mem[22][8].CLK
clock => mem[21][0].CLK
clock => mem[21][1].CLK
clock => mem[21][2].CLK
clock => mem[21][3].CLK
clock => mem[21][4].CLK
clock => mem[21][5].CLK
clock => mem[21][6].CLK
clock => mem[21][7].CLK
clock => mem[21][8].CLK
clock => mem[20][0].CLK
clock => mem[20][1].CLK
clock => mem[20][2].CLK
clock => mem[20][3].CLK
clock => mem[20][4].CLK
clock => mem[20][5].CLK
clock => mem[20][6].CLK
clock => mem[20][7].CLK
clock => mem[20][8].CLK
clock => mem[19][0].CLK
clock => mem[19][1].CLK
clock => mem[19][2].CLK
clock => mem[19][3].CLK
clock => mem[19][4].CLK
clock => mem[19][5].CLK
clock => mem[19][6].CLK
clock => mem[19][7].CLK
clock => mem[19][8].CLK
clock => mem[18][0].CLK
clock => mem[18][1].CLK
clock => mem[18][2].CLK
clock => mem[18][3].CLK
clock => mem[18][4].CLK
clock => mem[18][5].CLK
clock => mem[18][6].CLK
clock => mem[18][7].CLK
clock => mem[18][8].CLK
clock => mem[17][0].CLK
clock => mem[17][1].CLK
clock => mem[17][2].CLK
clock => mem[17][3].CLK
clock => mem[17][4].CLK
clock => mem[17][5].CLK
clock => mem[17][6].CLK
clock => mem[17][7].CLK
clock => mem[17][8].CLK
clock => mem[16][0].CLK
clock => mem[16][1].CLK
clock => mem[16][2].CLK
clock => mem[16][3].CLK
clock => mem[16][4].CLK
clock => mem[16][5].CLK
clock => mem[16][6].CLK
clock => mem[16][7].CLK
clock => mem[16][8].CLK
clock => mem[15][0].CLK
clock => mem[15][1].CLK
clock => mem[15][2].CLK
clock => mem[15][3].CLK
clock => mem[15][4].CLK
clock => mem[15][5].CLK
clock => mem[15][6].CLK
clock => mem[15][7].CLK
clock => mem[15][8].CLK
clock => mem[14][0].CLK
clock => mem[14][1].CLK
clock => mem[14][2].CLK
clock => mem[14][3].CLK
clock => mem[14][4].CLK
clock => mem[14][5].CLK
clock => mem[14][6].CLK
clock => mem[14][7].CLK
clock => mem[14][8].CLK
clock => mem[13][0].CLK
clock => mem[13][1].CLK
clock => mem[13][2].CLK
clock => mem[13][3].CLK
clock => mem[13][4].CLK
clock => mem[13][5].CLK
clock => mem[13][6].CLK
clock => mem[13][7].CLK
clock => mem[13][8].CLK
clock => mem[12][0].CLK
clock => mem[12][1].CLK
clock => mem[12][2].CLK
clock => mem[12][3].CLK
clock => mem[12][4].CLK
clock => mem[12][5].CLK
clock => mem[12][6].CLK
clock => mem[12][7].CLK
clock => mem[12][8].CLK
clock => mem[11][0].CLK
clock => mem[11][1].CLK
clock => mem[11][2].CLK
clock => mem[11][3].CLK
clock => mem[11][4].CLK
clock => mem[11][5].CLK
clock => mem[11][6].CLK
clock => mem[11][7].CLK
clock => mem[11][8].CLK
clock => mem[10][0].CLK
clock => mem[10][1].CLK
clock => mem[10][2].CLK
clock => mem[10][3].CLK
clock => mem[10][4].CLK
clock => mem[10][5].CLK
clock => mem[10][6].CLK
clock => mem[10][7].CLK
clock => mem[10][8].CLK
clock => mem[9][0].CLK
clock => mem[9][1].CLK
clock => mem[9][2].CLK
clock => mem[9][3].CLK
clock => mem[9][4].CLK
clock => mem[9][5].CLK
clock => mem[9][6].CLK
clock => mem[9][7].CLK
clock => mem[9][8].CLK
clock => mem[8][0].CLK
clock => mem[8][1].CLK
clock => mem[8][2].CLK
clock => mem[8][3].CLK
clock => mem[8][4].CLK
clock => mem[8][5].CLK
clock => mem[8][6].CLK
clock => mem[8][7].CLK
clock => mem[8][8].CLK
clock => mem[7][0].CLK
clock => mem[7][1].CLK
clock => mem[7][2].CLK
clock => mem[7][3].CLK
clock => mem[7][4].CLK
clock => mem[7][5].CLK
clock => mem[7][6].CLK
clock => mem[7][7].CLK
clock => mem[7][8].CLK
clock => mem[6][0].CLK
clock => mem[6][1].CLK
clock => mem[6][2].CLK
clock => mem[6][3].CLK
clock => mem[6][4].CLK
clock => mem[6][5].CLK
clock => mem[6][6].CLK
clock => mem[6][7].CLK
clock => mem[6][8].CLK
clock => mem[5][0].CLK
clock => mem[5][1].CLK
clock => mem[5][2].CLK
clock => mem[5][3].CLK
clock => mem[5][4].CLK
clock => mem[5][5].CLK
clock => mem[5][6].CLK
clock => mem[5][7].CLK
clock => mem[5][8].CLK
clock => mem[4][0].CLK
clock => mem[4][1].CLK
clock => mem[4][2].CLK
clock => mem[4][3].CLK
clock => mem[4][4].CLK
clock => mem[4][5].CLK
clock => mem[4][6].CLK
clock => mem[4][7].CLK
clock => mem[4][8].CLK
clock => mem[3][0].CLK
clock => mem[3][1].CLK
clock => mem[3][2].CLK
clock => mem[3][3].CLK
clock => mem[3][4].CLK
clock => mem[3][5].CLK
clock => mem[3][6].CLK
clock => mem[3][7].CLK
clock => mem[3][8].CLK
clock => mem[2][0].CLK
clock => mem[2][1].CLK
clock => mem[2][2].CLK
clock => mem[2][3].CLK
clock => mem[2][4].CLK
clock => mem[2][5].CLK
clock => mem[2][6].CLK
clock => mem[2][7].CLK
clock => mem[2][8].CLK
clock => mem[1][0].CLK
clock => mem[1][1].CLK
clock => mem[1][2].CLK
clock => mem[1][3].CLK
clock => mem[1][4].CLK
clock => mem[1][5].CLK
clock => mem[1][6].CLK
clock => mem[1][7].CLK
clock => mem[1][8].CLK
clock => mem[0][0].CLK
clock => mem[0][1].CLK
clock => mem[0][2].CLK
clock => mem[0][3].CLK
clock => mem[0][4].CLK
clock => mem[0][5].CLK
clock => mem[0][6].CLK
clock => mem[0][7].CLK
clock => mem[0][8].CLK
reset => mem[63][0].ACLR
reset => mem[63][1].ACLR
reset => mem[63][2].ACLR
reset => mem[63][3].ACLR
reset => mem[63][4].ACLR
reset => mem[63][5].ACLR
reset => mem[63][6].ACLR
reset => mem[63][7].ACLR
reset => mem[63][8].ACLR
reset => mem[62][0].ACLR
reset => mem[62][1].ACLR
reset => mem[62][2].ACLR
reset => mem[62][3].ACLR
reset => mem[62][4].ACLR
reset => mem[62][5].ACLR
reset => mem[62][6].ACLR
reset => mem[62][7].ACLR
reset => mem[62][8].ACLR
reset => mem[61][0].ACLR
reset => mem[61][1].ACLR
reset => mem[61][2].ACLR
reset => mem[61][3].ACLR
reset => mem[61][4].ACLR
reset => mem[61][5].ACLR
reset => mem[61][6].ACLR
reset => mem[61][7].ACLR
reset => mem[61][8].ACLR
reset => mem[60][0].ACLR
reset => mem[60][1].ACLR
reset => mem[60][2].ACLR
reset => mem[60][3].ACLR
reset => mem[60][4].ACLR
reset => mem[60][5].ACLR
reset => mem[60][6].ACLR
reset => mem[60][7].ACLR
reset => mem[60][8].ACLR
reset => mem[59][0].ACLR
reset => mem[59][1].ACLR
reset => mem[59][2].ACLR
reset => mem[59][3].ACLR
reset => mem[59][4].ACLR
reset => mem[59][5].ACLR
reset => mem[59][6].ACLR
reset => mem[59][7].ACLR
reset => mem[59][8].ACLR
reset => mem[58][0].ACLR
reset => mem[58][1].ACLR
reset => mem[58][2].ACLR
reset => mem[58][3].ACLR
reset => mem[58][4].ACLR
reset => mem[58][5].ACLR
reset => mem[58][6].ACLR
reset => mem[58][7].ACLR
reset => mem[58][8].ACLR
reset => mem[57][0].ACLR
reset => mem[57][1].ACLR
reset => mem[57][2].ACLR
reset => mem[57][3].ACLR
reset => mem[57][4].ACLR
reset => mem[57][5].ACLR
reset => mem[57][6].ACLR
reset => mem[57][7].ACLR
reset => mem[57][8].ACLR
reset => mem[56][0].ACLR
reset => mem[56][1].ACLR
reset => mem[56][2].ACLR
reset => mem[56][3].ACLR
reset => mem[56][4].ACLR
reset => mem[56][5].ACLR
reset => mem[56][6].ACLR
reset => mem[56][7].ACLR
reset => mem[56][8].ACLR
reset => mem[55][0].ACLR
reset => mem[55][1].ACLR
reset => mem[55][2].ACLR
reset => mem[55][3].ACLR
reset => mem[55][4].ACLR
reset => mem[55][5].ACLR
reset => mem[55][6].ACLR
reset => mem[55][7].ACLR
reset => mem[55][8].ACLR
reset => mem[54][0].ACLR
reset => mem[54][1].ACLR
reset => mem[54][2].ACLR
reset => mem[54][3].ACLR
reset => mem[54][4].ACLR
reset => mem[54][5].ACLR
reset => mem[54][6].ACLR
reset => mem[54][7].ACLR
reset => mem[54][8].ACLR
reset => mem[53][0].ACLR
reset => mem[53][1].ACLR
reset => mem[53][2].ACLR
reset => mem[53][3].ACLR
reset => mem[53][4].ACLR
reset => mem[53][5].ACLR
reset => mem[53][6].ACLR
reset => mem[53][7].ACLR
reset => mem[53][8].ACLR
reset => mem[52][0].ACLR
reset => mem[52][1].ACLR
reset => mem[52][2].ACLR
reset => mem[52][3].ACLR
reset => mem[52][4].ACLR
reset => mem[52][5].ACLR
reset => mem[52][6].ACLR
reset => mem[52][7].ACLR
reset => mem[52][8].ACLR
reset => mem[51][0].ACLR
reset => mem[51][1].ACLR
reset => mem[51][2].ACLR
reset => mem[51][3].ACLR
reset => mem[51][4].ACLR
reset => mem[51][5].ACLR
reset => mem[51][6].ACLR
reset => mem[51][7].ACLR
reset => mem[51][8].ACLR
reset => mem[50][0].ACLR
reset => mem[50][1].ACLR
reset => mem[50][2].ACLR
reset => mem[50][3].ACLR
reset => mem[50][4].ACLR
reset => mem[50][5].ACLR
reset => mem[50][6].ACLR
reset => mem[50][7].ACLR
reset => mem[50][8].ACLR
reset => mem[49][0].ACLR
reset => mem[49][1].ACLR
reset => mem[49][2].ACLR
reset => mem[49][3].ACLR
reset => mem[49][4].ACLR
reset => mem[49][5].ACLR
reset => mem[49][6].ACLR
reset => mem[49][7].ACLR
reset => mem[49][8].ACLR
reset => mem[48][0].ACLR
reset => mem[48][1].ACLR
reset => mem[48][2].ACLR
reset => mem[48][3].ACLR
reset => mem[48][4].ACLR
reset => mem[48][5].ACLR
reset => mem[48][6].ACLR
reset => mem[48][7].ACLR
reset => mem[48][8].ACLR
reset => mem[47][0].ACLR
reset => mem[47][1].ACLR
reset => mem[47][2].ACLR
reset => mem[47][3].ACLR
reset => mem[47][4].ACLR
reset => mem[47][5].ACLR
reset => mem[47][6].ACLR
reset => mem[47][7].ACLR
reset => mem[47][8].ACLR
reset => mem[46][0].ACLR
reset => mem[46][1].ACLR
reset => mem[46][2].ACLR
reset => mem[46][3].ACLR
reset => mem[46][4].ACLR
reset => mem[46][5].ACLR
reset => mem[46][6].ACLR
reset => mem[46][7].ACLR
reset => mem[46][8].ACLR
reset => mem[45][0].ACLR
reset => mem[45][1].ACLR
reset => mem[45][2].ACLR
reset => mem[45][3].ACLR
reset => mem[45][4].ACLR
reset => mem[45][5].ACLR
reset => mem[45][6].ACLR
reset => mem[45][7].ACLR
reset => mem[45][8].ACLR
reset => mem[44][0].ACLR
reset => mem[44][1].ACLR
reset => mem[44][2].ACLR
reset => mem[44][3].ACLR
reset => mem[44][4].ACLR
reset => mem[44][5].ACLR
reset => mem[44][6].ACLR
reset => mem[44][7].ACLR
reset => mem[44][8].ACLR
reset => mem[43][0].ACLR
reset => mem[43][1].ACLR
reset => mem[43][2].ACLR
reset => mem[43][3].ACLR
reset => mem[43][4].ACLR
reset => mem[43][5].ACLR
reset => mem[43][6].ACLR
reset => mem[43][7].ACLR
reset => mem[43][8].ACLR
reset => mem[42][0].ACLR
reset => mem[42][1].ACLR
reset => mem[42][2].ACLR
reset => mem[42][3].ACLR
reset => mem[42][4].ACLR
reset => mem[42][5].ACLR
reset => mem[42][6].ACLR
reset => mem[42][7].ACLR
reset => mem[42][8].ACLR
reset => mem[41][0].ACLR
reset => mem[41][1].ACLR
reset => mem[41][2].ACLR
reset => mem[41][3].ACLR
reset => mem[41][4].ACLR
reset => mem[41][5].ACLR
reset => mem[41][6].ACLR
reset => mem[41][7].ACLR
reset => mem[41][8].ACLR
reset => mem[40][0].ACLR
reset => mem[40][1].ACLR
reset => mem[40][2].ACLR
reset => mem[40][3].ACLR
reset => mem[40][4].ACLR
reset => mem[40][5].ACLR
reset => mem[40][6].ACLR
reset => mem[40][7].ACLR
reset => mem[40][8].ACLR
reset => mem[39][0].ACLR
reset => mem[39][1].ACLR
reset => mem[39][2].ACLR
reset => mem[39][3].ACLR
reset => mem[39][4].ACLR
reset => mem[39][5].ACLR
reset => mem[39][6].ACLR
reset => mem[39][7].ACLR
reset => mem[39][8].ACLR
reset => mem[38][0].ACLR
reset => mem[38][1].ACLR
reset => mem[38][2].ACLR
reset => mem[38][3].ACLR
reset => mem[38][4].ACLR
reset => mem[38][5].ACLR
reset => mem[38][6].ACLR
reset => mem[38][7].ACLR
reset => mem[38][8].ACLR
reset => mem[37][0].ACLR
reset => mem[37][1].ACLR
reset => mem[37][2].ACLR
reset => mem[37][3].ACLR
reset => mem[37][4].ACLR
reset => mem[37][5].ACLR
reset => mem[37][6].ACLR
reset => mem[37][7].ACLR
reset => mem[37][8].ACLR
reset => mem[36][0].ACLR
reset => mem[36][1].ACLR
reset => mem[36][2].ACLR
reset => mem[36][3].ACLR
reset => mem[36][4].ACLR
reset => mem[36][5].ACLR
reset => mem[36][6].ACLR
reset => mem[36][7].ACLR
reset => mem[36][8].ACLR
reset => mem[35][0].ACLR
reset => mem[35][1].ACLR
reset => mem[35][2].ACLR
reset => mem[35][3].ACLR
reset => mem[35][4].ACLR
reset => mem[35][5].ACLR
reset => mem[35][6].ACLR
reset => mem[35][7].ACLR
reset => mem[35][8].ACLR
reset => mem[34][0].ACLR
reset => mem[34][1].ACLR
reset => mem[34][2].ACLR
reset => mem[34][3].ACLR
reset => mem[34][4].ACLR
reset => mem[34][5].ACLR
reset => mem[34][6].ACLR
reset => mem[34][7].ACLR
reset => mem[34][8].ACLR
reset => mem[33][0].ACLR
reset => mem[33][1].ACLR
reset => mem[33][2].ACLR
reset => mem[33][3].ACLR
reset => mem[33][4].ACLR
reset => mem[33][5].ACLR
reset => mem[33][6].ACLR
reset => mem[33][7].ACLR
reset => mem[33][8].ACLR
reset => mem[32][0].ACLR
reset => mem[32][1].ACLR
reset => mem[32][2].ACLR
reset => mem[32][3].ACLR
reset => mem[32][4].ACLR
reset => mem[32][5].ACLR
reset => mem[32][6].ACLR
reset => mem[32][7].ACLR
reset => mem[32][8].ACLR
reset => mem[31][0].ACLR
reset => mem[31][1].ACLR
reset => mem[31][2].ACLR
reset => mem[31][3].ACLR
reset => mem[31][4].ACLR
reset => mem[31][5].ACLR
reset => mem[31][6].ACLR
reset => mem[31][7].ACLR
reset => mem[31][8].ACLR
reset => mem[30][0].ACLR
reset => mem[30][1].ACLR
reset => mem[30][2].ACLR
reset => mem[30][3].ACLR
reset => mem[30][4].ACLR
reset => mem[30][5].ACLR
reset => mem[30][6].ACLR
reset => mem[30][7].ACLR
reset => mem[30][8].ACLR
reset => mem[29][0].ACLR
reset => mem[29][1].ACLR
reset => mem[29][2].ACLR
reset => mem[29][3].ACLR
reset => mem[29][4].ACLR
reset => mem[29][5].ACLR
reset => mem[29][6].ACLR
reset => mem[29][7].ACLR
reset => mem[29][8].ACLR
reset => mem[28][0].ACLR
reset => mem[28][1].ACLR
reset => mem[28][2].ACLR
reset => mem[28][3].ACLR
reset => mem[28][4].ACLR
reset => mem[28][5].ACLR
reset => mem[28][6].ACLR
reset => mem[28][7].ACLR
reset => mem[28][8].ACLR
reset => mem[27][0].ACLR
reset => mem[27][1].ACLR
reset => mem[27][2].ACLR
reset => mem[27][3].ACLR
reset => mem[27][4].ACLR
reset => mem[27][5].ACLR
reset => mem[27][6].ACLR
reset => mem[27][7].ACLR
reset => mem[27][8].ACLR
reset => mem[26][0].ACLR
reset => mem[26][1].ACLR
reset => mem[26][2].ACLR
reset => mem[26][3].ACLR
reset => mem[26][4].ACLR
reset => mem[26][5].ACLR
reset => mem[26][6].ACLR
reset => mem[26][7].ACLR
reset => mem[26][8].ACLR
reset => mem[25][0].ACLR
reset => mem[25][1].ACLR
reset => mem[25][2].ACLR
reset => mem[25][3].ACLR
reset => mem[25][4].ACLR
reset => mem[25][5].ACLR
reset => mem[25][6].ACLR
reset => mem[25][7].ACLR
reset => mem[25][8].ACLR
reset => mem[24][0].ACLR
reset => mem[24][1].ACLR
reset => mem[24][2].ACLR
reset => mem[24][3].ACLR
reset => mem[24][4].ACLR
reset => mem[24][5].ACLR
reset => mem[24][6].ACLR
reset => mem[24][7].ACLR
reset => mem[24][8].ACLR
reset => mem[23][0].ACLR
reset => mem[23][1].ACLR
reset => mem[23][2].ACLR
reset => mem[23][3].ACLR
reset => mem[23][4].ACLR
reset => mem[23][5].ACLR
reset => mem[23][6].ACLR
reset => mem[23][7].ACLR
reset => mem[23][8].ACLR
reset => mem[22][0].ACLR
reset => mem[22][1].ACLR
reset => mem[22][2].ACLR
reset => mem[22][3].ACLR
reset => mem[22][4].ACLR
reset => mem[22][5].ACLR
reset => mem[22][6].ACLR
reset => mem[22][7].ACLR
reset => mem[22][8].ACLR
reset => mem[21][0].ACLR
reset => mem[21][1].ACLR
reset => mem[21][2].ACLR
reset => mem[21][3].ACLR
reset => mem[21][4].ACLR
reset => mem[21][5].ACLR
reset => mem[21][6].ACLR
reset => mem[21][7].ACLR
reset => mem[21][8].ACLR
reset => mem[20][0].ACLR
reset => mem[20][1].ACLR
reset => mem[20][2].ACLR
reset => mem[20][3].ACLR
reset => mem[20][4].ACLR
reset => mem[20][5].ACLR
reset => mem[20][6].ACLR
reset => mem[20][7].ACLR
reset => mem[20][8].ACLR
reset => mem[19][0].ACLR
reset => mem[19][1].ACLR
reset => mem[19][2].ACLR
reset => mem[19][3].ACLR
reset => mem[19][4].ACLR
reset => mem[19][5].ACLR
reset => mem[19][6].ACLR
reset => mem[19][7].ACLR
reset => mem[19][8].ACLR
reset => mem[18][0].ACLR
reset => mem[18][1].ACLR
reset => mem[18][2].ACLR
reset => mem[18][3].ACLR
reset => mem[18][4].ACLR
reset => mem[18][5].ACLR
reset => mem[18][6].ACLR
reset => mem[18][7].ACLR
reset => mem[18][8].ACLR
reset => mem[17][0].ACLR
reset => mem[17][1].ACLR
reset => mem[17][2].ACLR
reset => mem[17][3].ACLR
reset => mem[17][4].ACLR
reset => mem[17][5].ACLR
reset => mem[17][6].ACLR
reset => mem[17][7].ACLR
reset => mem[17][8].ACLR
reset => mem[16][0].ACLR
reset => mem[16][1].ACLR
reset => mem[16][2].ACLR
reset => mem[16][3].ACLR
reset => mem[16][4].ACLR
reset => mem[16][5].ACLR
reset => mem[16][6].ACLR
reset => mem[16][7].ACLR
reset => mem[16][8].ACLR
reset => mem[15][0].ACLR
reset => mem[15][1].ACLR
reset => mem[15][2].ACLR
reset => mem[15][3].ACLR
reset => mem[15][4].ACLR
reset => mem[15][5].ACLR
reset => mem[15][6].ACLR
reset => mem[15][7].ACLR
reset => mem[15][8].ACLR
reset => mem[14][0].ACLR
reset => mem[14][1].ACLR
reset => mem[14][2].ACLR
reset => mem[14][3].ACLR
reset => mem[14][4].ACLR
reset => mem[14][5].ACLR
reset => mem[14][6].ACLR
reset => mem[14][7].ACLR
reset => mem[14][8].ACLR
reset => mem[13][0].ACLR
reset => mem[13][1].ACLR
reset => mem[13][2].ACLR
reset => mem[13][3].ACLR
reset => mem[13][4].ACLR
reset => mem[13][5].ACLR
reset => mem[13][6].ACLR
reset => mem[13][7].ACLR
reset => mem[13][8].ACLR
reset => mem[12][0].ACLR
reset => mem[12][1].ACLR
reset => mem[12][2].ACLR
reset => mem[12][3].ACLR
reset => mem[12][4].ACLR
reset => mem[12][5].ACLR
reset => mem[12][6].ACLR
reset => mem[12][7].ACLR
reset => mem[12][8].ACLR
reset => mem[11][0].ACLR
reset => mem[11][1].ACLR
reset => mem[11][2].ACLR
reset => mem[11][3].ACLR
reset => mem[11][4].ACLR
reset => mem[11][5].ACLR
reset => mem[11][6].ACLR
reset => mem[11][7].ACLR
reset => mem[11][8].ACLR
reset => mem[10][0].ACLR
reset => mem[10][1].ACLR
reset => mem[10][2].ACLR
reset => mem[10][3].ACLR
reset => mem[10][4].ACLR
reset => mem[10][5].ACLR
reset => mem[10][6].ACLR
reset => mem[10][7].ACLR
reset => mem[10][8].ACLR
reset => mem[9][0].ACLR
reset => mem[9][1].ACLR
reset => mem[9][2].ACLR
reset => mem[9][3].ACLR
reset => mem[9][4].ACLR
reset => mem[9][5].ACLR
reset => mem[9][6].ACLR
reset => mem[9][7].ACLR
reset => mem[9][8].ACLR
reset => mem[8][0].ACLR
reset => mem[8][1].ACLR
reset => mem[8][2].ACLR
reset => mem[8][3].ACLR
reset => mem[8][4].ACLR
reset => mem[8][5].ACLR
reset => mem[8][6].ACLR
reset => mem[8][7].ACLR
reset => mem[8][8].ACLR
reset => mem[7][0].ACLR
reset => mem[7][1].ACLR
reset => mem[7][2].ACLR
reset => mem[7][3].ACLR
reset => mem[7][4].ACLR
reset => mem[7][5].ACLR
reset => mem[7][6].ACLR
reset => mem[7][7].ACLR
reset => mem[7][8].ACLR
reset => mem[6][0].ACLR
reset => mem[6][1].ACLR
reset => mem[6][2].ACLR
reset => mem[6][3].ACLR
reset => mem[6][4].ACLR
reset => mem[6][5].ACLR
reset => mem[6][6].ACLR
reset => mem[6][7].ACLR
reset => mem[6][8].ACLR
reset => mem[5][0].ACLR
reset => mem[5][1].ACLR
reset => mem[5][2].ACLR
reset => mem[5][3].ACLR
reset => mem[5][4].ACLR
reset => mem[5][5].ACLR
reset => mem[5][6].ACLR
reset => mem[5][7].ACLR
reset => mem[5][8].ACLR
reset => mem[4][0].ACLR
reset => mem[4][1].ACLR
reset => mem[4][2].ACLR
reset => mem[4][3].ACLR
reset => mem[4][4].ACLR
reset => mem[4][5].ACLR
reset => mem[4][6].ACLR
reset => mem[4][7].ACLR
reset => mem[4][8].ACLR
reset => mem[3][0].ACLR
reset => mem[3][1].ACLR
reset => mem[3][2].ACLR
reset => mem[3][3].ACLR
reset => mem[3][4].ACLR
reset => mem[3][5].ACLR
reset => mem[3][6].ACLR
reset => mem[3][7].ACLR
reset => mem[3][8].ACLR
reset => mem[2][0].ACLR
reset => mem[2][1].ACLR
reset => mem[2][2].ACLR
reset => mem[2][3].ACLR
reset => mem[2][4].ACLR
reset => mem[2][5].ACLR
reset => mem[2][6].ACLR
reset => mem[2][7].ACLR
reset => mem[2][8].ACLR
reset => mem[1][0].ACLR
reset => mem[1][1].ACLR
reset => mem[1][2].ACLR
reset => mem[1][3].ACLR
reset => mem[1][4].ACLR
reset => mem[1][5].ACLR
reset => mem[1][6].ACLR
reset => mem[1][7].ACLR
reset => mem[1][8].ACLR
reset => mem[0][0].ACLR
reset => mem[0][1].ACLR
reset => mem[0][2].ACLR
reset => mem[0][3].ACLR
reset => mem[0][4].ACLR
reset => mem[0][5].ACLR
reset => mem[0][6].ACLR
reset => mem[0][7].ACLR
reset => mem[0][8].ACLR
reset => data_out[0]~reg0.ACLR
reset => data_out[1]~reg0.ACLR
reset => data_out[2]~reg0.ACLR
reset => data_out[3]~reg0.ACLR
reset => data_out[4]~reg0.ACLR
reset => data_out[5]~reg0.ACLR
reset => data_out[6]~reg0.ACLR
reset => data_out[7]~reg0.ACLR
reset => data_out[8]~reg0.ACLR
data_in[0] => mem[0][0].DATAIN
data_in[0] => mem[1][0].DATAIN
data_in[0] => mem[2][0].DATAIN
data_in[0] => mem[3][0].DATAIN
data_in[0] => mem[4][0].DATAIN
data_in[0] => mem[5][0].DATAIN
data_in[0] => mem[6][0].DATAIN
data_in[0] => mem[7][0].DATAIN
data_in[0] => mem[8][0].DATAIN
data_in[0] => mem[9][0].DATAIN
data_in[0] => mem[10][0].DATAIN
data_in[0] => mem[11][0].DATAIN
data_in[0] => mem[12][0].DATAIN
data_in[0] => mem[13][0].DATAIN
data_in[0] => mem[14][0].DATAIN
data_in[0] => mem[15][0].DATAIN
data_in[0] => mem[16][0].DATAIN
data_in[0] => mem[17][0].DATAIN
data_in[0] => mem[18][0].DATAIN
data_in[0] => mem[19][0].DATAIN
data_in[0] => mem[20][0].DATAIN
data_in[0] => mem[21][0].DATAIN
data_in[0] => mem[22][0].DATAIN
data_in[0] => mem[23][0].DATAIN
data_in[0] => mem[24][0].DATAIN
data_in[0] => mem[25][0].DATAIN
data_in[0] => mem[26][0].DATAIN
data_in[0] => mem[27][0].DATAIN
data_in[0] => mem[28][0].DATAIN
data_in[0] => mem[29][0].DATAIN
data_in[0] => mem[30][0].DATAIN
data_in[0] => mem[31][0].DATAIN
data_in[0] => mem[32][0].DATAIN
data_in[0] => mem[33][0].DATAIN
data_in[0] => mem[34][0].DATAIN
data_in[0] => mem[35][0].DATAIN
data_in[0] => mem[36][0].DATAIN
data_in[0] => mem[37][0].DATAIN
data_in[0] => mem[38][0].DATAIN
data_in[0] => mem[39][0].DATAIN
data_in[0] => mem[40][0].DATAIN
data_in[0] => mem[41][0].DATAIN
data_in[0] => mem[42][0].DATAIN
data_in[0] => mem[43][0].DATAIN
data_in[0] => mem[44][0].DATAIN
data_in[0] => mem[45][0].DATAIN
data_in[0] => mem[46][0].DATAIN
data_in[0] => mem[47][0].DATAIN
data_in[0] => mem[48][0].DATAIN
data_in[0] => mem[49][0].DATAIN
data_in[0] => mem[50][0].DATAIN
data_in[0] => mem[51][0].DATAIN
data_in[0] => mem[52][0].DATAIN
data_in[0] => mem[53][0].DATAIN
data_in[0] => mem[54][0].DATAIN
data_in[0] => mem[55][0].DATAIN
data_in[0] => mem[56][0].DATAIN
data_in[0] => mem[57][0].DATAIN
data_in[0] => mem[58][0].DATAIN
data_in[0] => mem[59][0].DATAIN
data_in[0] => mem[60][0].DATAIN
data_in[0] => mem[61][0].DATAIN
data_in[0] => mem[62][0].DATAIN
data_in[0] => mem[63][0].DATAIN
data_in[1] => mem[0][1].DATAIN
data_in[1] => mem[1][1].DATAIN
data_in[1] => mem[2][1].DATAIN
data_in[1] => mem[3][1].DATAIN
data_in[1] => mem[4][1].DATAIN
data_in[1] => mem[5][1].DATAIN
data_in[1] => mem[6][1].DATAIN
data_in[1] => mem[7][1].DATAIN
data_in[1] => mem[8][1].DATAIN
data_in[1] => mem[9][1].DATAIN
data_in[1] => mem[10][1].DATAIN
data_in[1] => mem[11][1].DATAIN
data_in[1] => mem[12][1].DATAIN
data_in[1] => mem[13][1].DATAIN
data_in[1] => mem[14][1].DATAIN
data_in[1] => mem[15][1].DATAIN
data_in[1] => mem[16][1].DATAIN
data_in[1] => mem[17][1].DATAIN
data_in[1] => mem[18][1].DATAIN
data_in[1] => mem[19][1].DATAIN
data_in[1] => mem[20][1].DATAIN
data_in[1] => mem[21][1].DATAIN
data_in[1] => mem[22][1].DATAIN
data_in[1] => mem[23][1].DATAIN
data_in[1] => mem[24][1].DATAIN
data_in[1] => mem[25][1].DATAIN
data_in[1] => mem[26][1].DATAIN
data_in[1] => mem[27][1].DATAIN
data_in[1] => mem[28][1].DATAIN
data_in[1] => mem[29][1].DATAIN
data_in[1] => mem[30][1].DATAIN
data_in[1] => mem[31][1].DATAIN
data_in[1] => mem[32][1].DATAIN
data_in[1] => mem[33][1].DATAIN
data_in[1] => mem[34][1].DATAIN
data_in[1] => mem[35][1].DATAIN
data_in[1] => mem[36][1].DATAIN
data_in[1] => mem[37][1].DATAIN
data_in[1] => mem[38][1].DATAIN
data_in[1] => mem[39][1].DATAIN
data_in[1] => mem[40][1].DATAIN
data_in[1] => mem[41][1].DATAIN
data_in[1] => mem[42][1].DATAIN
data_in[1] => mem[43][1].DATAIN
data_in[1] => mem[44][1].DATAIN
data_in[1] => mem[45][1].DATAIN
data_in[1] => mem[46][1].DATAIN
data_in[1] => mem[47][1].DATAIN
data_in[1] => mem[48][1].DATAIN
data_in[1] => mem[49][1].DATAIN
data_in[1] => mem[50][1].DATAIN
data_in[1] => mem[51][1].DATAIN
data_in[1] => mem[52][1].DATAIN
data_in[1] => mem[53][1].DATAIN
data_in[1] => mem[54][1].DATAIN
data_in[1] => mem[55][1].DATAIN
data_in[1] => mem[56][1].DATAIN
data_in[1] => mem[57][1].DATAIN
data_in[1] => mem[58][1].DATAIN
data_in[1] => mem[59][1].DATAIN
data_in[1] => mem[60][1].DATAIN
data_in[1] => mem[61][1].DATAIN
data_in[1] => mem[62][1].DATAIN
data_in[1] => mem[63][1].DATAIN
data_in[2] => mem[0][2].DATAIN
data_in[2] => mem[1][2].DATAIN
data_in[2] => mem[2][2].DATAIN
data_in[2] => mem[3][2].DATAIN
data_in[2] => mem[4][2].DATAIN
data_in[2] => mem[5][2].DATAIN
data_in[2] => mem[6][2].DATAIN
data_in[2] => mem[7][2].DATAIN
data_in[2] => mem[8][2].DATAIN
data_in[2] => mem[9][2].DATAIN
data_in[2] => mem[10][2].DATAIN
data_in[2] => mem[11][2].DATAIN
data_in[2] => mem[12][2].DATAIN
data_in[2] => mem[13][2].DATAIN
data_in[2] => mem[14][2].DATAIN
data_in[2] => mem[15][2].DATAIN
data_in[2] => mem[16][2].DATAIN
data_in[2] => mem[17][2].DATAIN
data_in[2] => mem[18][2].DATAIN
data_in[2] => mem[19][2].DATAIN
data_in[2] => mem[20][2].DATAIN
data_in[2] => mem[21][2].DATAIN
data_in[2] => mem[22][2].DATAIN
data_in[2] => mem[23][2].DATAIN
data_in[2] => mem[24][2].DATAIN
data_in[2] => mem[25][2].DATAIN
data_in[2] => mem[26][2].DATAIN
data_in[2] => mem[27][2].DATAIN
data_in[2] => mem[28][2].DATAIN
data_in[2] => mem[29][2].DATAIN
data_in[2] => mem[30][2].DATAIN
data_in[2] => mem[31][2].DATAIN
data_in[2] => mem[32][2].DATAIN
data_in[2] => mem[33][2].DATAIN
data_in[2] => mem[34][2].DATAIN
data_in[2] => mem[35][2].DATAIN
data_in[2] => mem[36][2].DATAIN
data_in[2] => mem[37][2].DATAIN
data_in[2] => mem[38][2].DATAIN
data_in[2] => mem[39][2].DATAIN
data_in[2] => mem[40][2].DATAIN
data_in[2] => mem[41][2].DATAIN
data_in[2] => mem[42][2].DATAIN
data_in[2] => mem[43][2].DATAIN
data_in[2] => mem[44][2].DATAIN
data_in[2] => mem[45][2].DATAIN
data_in[2] => mem[46][2].DATAIN
data_in[2] => mem[47][2].DATAIN
data_in[2] => mem[48][2].DATAIN
data_in[2] => mem[49][2].DATAIN
data_in[2] => mem[50][2].DATAIN
data_in[2] => mem[51][2].DATAIN
data_in[2] => mem[52][2].DATAIN
data_in[2] => mem[53][2].DATAIN
data_in[2] => mem[54][2].DATAIN
data_in[2] => mem[55][2].DATAIN
data_in[2] => mem[56][2].DATAIN
data_in[2] => mem[57][2].DATAIN
data_in[2] => mem[58][2].DATAIN
data_in[2] => mem[59][2].DATAIN
data_in[2] => mem[60][2].DATAIN
data_in[2] => mem[61][2].DATAIN
data_in[2] => mem[62][2].DATAIN
data_in[2] => mem[63][2].DATAIN
data_in[3] => mem[0][3].DATAIN
data_in[3] => mem[1][3].DATAIN
data_in[3] => mem[2][3].DATAIN
data_in[3] => mem[3][3].DATAIN
data_in[3] => mem[4][3].DATAIN
data_in[3] => mem[5][3].DATAIN
data_in[3] => mem[6][3].DATAIN
data_in[3] => mem[7][3].DATAIN
data_in[3] => mem[8][3].DATAIN
data_in[3] => mem[9][3].DATAIN
data_in[3] => mem[10][3].DATAIN
data_in[3] => mem[11][3].DATAIN
data_in[3] => mem[12][3].DATAIN
data_in[3] => mem[13][3].DATAIN
data_in[3] => mem[14][3].DATAIN
data_in[3] => mem[15][3].DATAIN
data_in[3] => mem[16][3].DATAIN
data_in[3] => mem[17][3].DATAIN
data_in[3] => mem[18][3].DATAIN
data_in[3] => mem[19][3].DATAIN
data_in[3] => mem[20][3].DATAIN
data_in[3] => mem[21][3].DATAIN
data_in[3] => mem[22][3].DATAIN
data_in[3] => mem[23][3].DATAIN
data_in[3] => mem[24][3].DATAIN
data_in[3] => mem[25][3].DATAIN
data_in[3] => mem[26][3].DATAIN
data_in[3] => mem[27][3].DATAIN
data_in[3] => mem[28][3].DATAIN
data_in[3] => mem[29][3].DATAIN
data_in[3] => mem[30][3].DATAIN
data_in[3] => mem[31][3].DATAIN
data_in[3] => mem[32][3].DATAIN
data_in[3] => mem[33][3].DATAIN
data_in[3] => mem[34][3].DATAIN
data_in[3] => mem[35][3].DATAIN
data_in[3] => mem[36][3].DATAIN
data_in[3] => mem[37][3].DATAIN
data_in[3] => mem[38][3].DATAIN
data_in[3] => mem[39][3].DATAIN
data_in[3] => mem[40][3].DATAIN
data_in[3] => mem[41][3].DATAIN
data_in[3] => mem[42][3].DATAIN
data_in[3] => mem[43][3].DATAIN
data_in[3] => mem[44][3].DATAIN
data_in[3] => mem[45][3].DATAIN
data_in[3] => mem[46][3].DATAIN
data_in[3] => mem[47][3].DATAIN
data_in[3] => mem[48][3].DATAIN
data_in[3] => mem[49][3].DATAIN
data_in[3] => mem[50][3].DATAIN
data_in[3] => mem[51][3].DATAIN
data_in[3] => mem[52][3].DATAIN
data_in[3] => mem[53][3].DATAIN
data_in[3] => mem[54][3].DATAIN
data_in[3] => mem[55][3].DATAIN
data_in[3] => mem[56][3].DATAIN
data_in[3] => mem[57][3].DATAIN
data_in[3] => mem[58][3].DATAIN
data_in[3] => mem[59][3].DATAIN
data_in[3] => mem[60][3].DATAIN
data_in[3] => mem[61][3].DATAIN
data_in[3] => mem[62][3].DATAIN
data_in[3] => mem[63][3].DATAIN
data_in[4] => mem[0][4].DATAIN
data_in[4] => mem[1][4].DATAIN
data_in[4] => mem[2][4].DATAIN
data_in[4] => mem[3][4].DATAIN
data_in[4] => mem[4][4].DATAIN
data_in[4] => mem[5][4].DATAIN
data_in[4] => mem[6][4].DATAIN
data_in[4] => mem[7][4].DATAIN
data_in[4] => mem[8][4].DATAIN
data_in[4] => mem[9][4].DATAIN
data_in[4] => mem[10][4].DATAIN
data_in[4] => mem[11][4].DATAIN
data_in[4] => mem[12][4].DATAIN
data_in[4] => mem[13][4].DATAIN
data_in[4] => mem[14][4].DATAIN
data_in[4] => mem[15][4].DATAIN
data_in[4] => mem[16][4].DATAIN
data_in[4] => mem[17][4].DATAIN
data_in[4] => mem[18][4].DATAIN
data_in[4] => mem[19][4].DATAIN
data_in[4] => mem[20][4].DATAIN
data_in[4] => mem[21][4].DATAIN
data_in[4] => mem[22][4].DATAIN
data_in[4] => mem[23][4].DATAIN
data_in[4] => mem[24][4].DATAIN
data_in[4] => mem[25][4].DATAIN
data_in[4] => mem[26][4].DATAIN
data_in[4] => mem[27][4].DATAIN
data_in[4] => mem[28][4].DATAIN
data_in[4] => mem[29][4].DATAIN
data_in[4] => mem[30][4].DATAIN
data_in[4] => mem[31][4].DATAIN
data_in[4] => mem[32][4].DATAIN
data_in[4] => mem[33][4].DATAIN
data_in[4] => mem[34][4].DATAIN
data_in[4] => mem[35][4].DATAIN
data_in[4] => mem[36][4].DATAIN
data_in[4] => mem[37][4].DATAIN
data_in[4] => mem[38][4].DATAIN
data_in[4] => mem[39][4].DATAIN
data_in[4] => mem[40][4].DATAIN
data_in[4] => mem[41][4].DATAIN
data_in[4] => mem[42][4].DATAIN
data_in[4] => mem[43][4].DATAIN
data_in[4] => mem[44][4].DATAIN
data_in[4] => mem[45][4].DATAIN
data_in[4] => mem[46][4].DATAIN
data_in[4] => mem[47][4].DATAIN
data_in[4] => mem[48][4].DATAIN
data_in[4] => mem[49][4].DATAIN
data_in[4] => mem[50][4].DATAIN
data_in[4] => mem[51][4].DATAIN
data_in[4] => mem[52][4].DATAIN
data_in[4] => mem[53][4].DATAIN
data_in[4] => mem[54][4].DATAIN
data_in[4] => mem[55][4].DATAIN
data_in[4] => mem[56][4].DATAIN
data_in[4] => mem[57][4].DATAIN
data_in[4] => mem[58][4].DATAIN
data_in[4] => mem[59][4].DATAIN
data_in[4] => mem[60][4].DATAIN
data_in[4] => mem[61][4].DATAIN
data_in[4] => mem[62][4].DATAIN
data_in[4] => mem[63][4].DATAIN
data_in[5] => mem[0][5].DATAIN
data_in[5] => mem[1][5].DATAIN
data_in[5] => mem[2][5].DATAIN
data_in[5] => mem[3][5].DATAIN
data_in[5] => mem[4][5].DATAIN
data_in[5] => mem[5][5].DATAIN
data_in[5] => mem[6][5].DATAIN
data_in[5] => mem[7][5].DATAIN
data_in[5] => mem[8][5].DATAIN
data_in[5] => mem[9][5].DATAIN
data_in[5] => mem[10][5].DATAIN
data_in[5] => mem[11][5].DATAIN
data_in[5] => mem[12][5].DATAIN
data_in[5] => mem[13][5].DATAIN
data_in[5] => mem[14][5].DATAIN
data_in[5] => mem[15][5].DATAIN
data_in[5] => mem[16][5].DATAIN
data_in[5] => mem[17][5].DATAIN
data_in[5] => mem[18][5].DATAIN
data_in[5] => mem[19][5].DATAIN
data_in[5] => mem[20][5].DATAIN
data_in[5] => mem[21][5].DATAIN
data_in[5] => mem[22][5].DATAIN
data_in[5] => mem[23][5].DATAIN
data_in[5] => mem[24][5].DATAIN
data_in[5] => mem[25][5].DATAIN
data_in[5] => mem[26][5].DATAIN
data_in[5] => mem[27][5].DATAIN
data_in[5] => mem[28][5].DATAIN
data_in[5] => mem[29][5].DATAIN
data_in[5] => mem[30][5].DATAIN
data_in[5] => mem[31][5].DATAIN
data_in[5] => mem[32][5].DATAIN
data_in[5] => mem[33][5].DATAIN
data_in[5] => mem[34][5].DATAIN
data_in[5] => mem[35][5].DATAIN
data_in[5] => mem[36][5].DATAIN
data_in[5] => mem[37][5].DATAIN
data_in[5] => mem[38][5].DATAIN
data_in[5] => mem[39][5].DATAIN
data_in[5] => mem[40][5].DATAIN
data_in[5] => mem[41][5].DATAIN
data_in[5] => mem[42][5].DATAIN
data_in[5] => mem[43][5].DATAIN
data_in[5] => mem[44][5].DATAIN
data_in[5] => mem[45][5].DATAIN
data_in[5] => mem[46][5].DATAIN
data_in[5] => mem[47][5].DATAIN
data_in[5] => mem[48][5].DATAIN
data_in[5] => mem[49][5].DATAIN
data_in[5] => mem[50][5].DATAIN
data_in[5] => mem[51][5].DATAIN
data_in[5] => mem[52][5].DATAIN
data_in[5] => mem[53][5].DATAIN
data_in[5] => mem[54][5].DATAIN
data_in[5] => mem[55][5].DATAIN
data_in[5] => mem[56][5].DATAIN
data_in[5] => mem[57][5].DATAIN
data_in[5] => mem[58][5].DATAIN
data_in[5] => mem[59][5].DATAIN
data_in[5] => mem[60][5].DATAIN
data_in[5] => mem[61][5].DATAIN
data_in[5] => mem[62][5].DATAIN
data_in[5] => mem[63][5].DATAIN
data_in[6] => mem[0][6].DATAIN
data_in[6] => mem[1][6].DATAIN
data_in[6] => mem[2][6].DATAIN
data_in[6] => mem[3][6].DATAIN
data_in[6] => mem[4][6].DATAIN
data_in[6] => mem[5][6].DATAIN
data_in[6] => mem[6][6].DATAIN
data_in[6] => mem[7][6].DATAIN
data_in[6] => mem[8][6].DATAIN
data_in[6] => mem[9][6].DATAIN
data_in[6] => mem[10][6].DATAIN
data_in[6] => mem[11][6].DATAIN
data_in[6] => mem[12][6].DATAIN
data_in[6] => mem[13][6].DATAIN
data_in[6] => mem[14][6].DATAIN
data_in[6] => mem[15][6].DATAIN
data_in[6] => mem[16][6].DATAIN
data_in[6] => mem[17][6].DATAIN
data_in[6] => mem[18][6].DATAIN
data_in[6] => mem[19][6].DATAIN
data_in[6] => mem[20][6].DATAIN
data_in[6] => mem[21][6].DATAIN
data_in[6] => mem[22][6].DATAIN
data_in[6] => mem[23][6].DATAIN
data_in[6] => mem[24][6].DATAIN
data_in[6] => mem[25][6].DATAIN
data_in[6] => mem[26][6].DATAIN
data_in[6] => mem[27][6].DATAIN
data_in[6] => mem[28][6].DATAIN
data_in[6] => mem[29][6].DATAIN
data_in[6] => mem[30][6].DATAIN
data_in[6] => mem[31][6].DATAIN
data_in[6] => mem[32][6].DATAIN
data_in[6] => mem[33][6].DATAIN
data_in[6] => mem[34][6].DATAIN
data_in[6] => mem[35][6].DATAIN
data_in[6] => mem[36][6].DATAIN
data_in[6] => mem[37][6].DATAIN
data_in[6] => mem[38][6].DATAIN
data_in[6] => mem[39][6].DATAIN
data_in[6] => mem[40][6].DATAIN
data_in[6] => mem[41][6].DATAIN
data_in[6] => mem[42][6].DATAIN
data_in[6] => mem[43][6].DATAIN
data_in[6] => mem[44][6].DATAIN
data_in[6] => mem[45][6].DATAIN
data_in[6] => mem[46][6].DATAIN
data_in[6] => mem[47][6].DATAIN
data_in[6] => mem[48][6].DATAIN
data_in[6] => mem[49][6].DATAIN
data_in[6] => mem[50][6].DATAIN
data_in[6] => mem[51][6].DATAIN
data_in[6] => mem[52][6].DATAIN
data_in[6] => mem[53][6].DATAIN
data_in[6] => mem[54][6].DATAIN
data_in[6] => mem[55][6].DATAIN
data_in[6] => mem[56][6].DATAIN
data_in[6] => mem[57][6].DATAIN
data_in[6] => mem[58][6].DATAIN
data_in[6] => mem[59][6].DATAIN
data_in[6] => mem[60][6].DATAIN
data_in[6] => mem[61][6].DATAIN
data_in[6] => mem[62][6].DATAIN
data_in[6] => mem[63][6].DATAIN
data_in[7] => mem[0][7].DATAIN
data_in[7] => mem[1][7].DATAIN
data_in[7] => mem[2][7].DATAIN
data_in[7] => mem[3][7].DATAIN
data_in[7] => mem[4][7].DATAIN
data_in[7] => mem[5][7].DATAIN
data_in[7] => mem[6][7].DATAIN
data_in[7] => mem[7][7].DATAIN
data_in[7] => mem[8][7].DATAIN
data_in[7] => mem[9][7].DATAIN
data_in[7] => mem[10][7].DATAIN
data_in[7] => mem[11][7].DATAIN
data_in[7] => mem[12][7].DATAIN
data_in[7] => mem[13][7].DATAIN
data_in[7] => mem[14][7].DATAIN
data_in[7] => mem[15][7].DATAIN
data_in[7] => mem[16][7].DATAIN
data_in[7] => mem[17][7].DATAIN
data_in[7] => mem[18][7].DATAIN
data_in[7] => mem[19][7].DATAIN
data_in[7] => mem[20][7].DATAIN
data_in[7] => mem[21][7].DATAIN
data_in[7] => mem[22][7].DATAIN
data_in[7] => mem[23][7].DATAIN
data_in[7] => mem[24][7].DATAIN
data_in[7] => mem[25][7].DATAIN
data_in[7] => mem[26][7].DATAIN
data_in[7] => mem[27][7].DATAIN
data_in[7] => mem[28][7].DATAIN
data_in[7] => mem[29][7].DATAIN
data_in[7] => mem[30][7].DATAIN
data_in[7] => mem[31][7].DATAIN
data_in[7] => mem[32][7].DATAIN
data_in[7] => mem[33][7].DATAIN
data_in[7] => mem[34][7].DATAIN
data_in[7] => mem[35][7].DATAIN
data_in[7] => mem[36][7].DATAIN
data_in[7] => mem[37][7].DATAIN
data_in[7] => mem[38][7].DATAIN
data_in[7] => mem[39][7].DATAIN
data_in[7] => mem[40][7].DATAIN
data_in[7] => mem[41][7].DATAIN
data_in[7] => mem[42][7].DATAIN
data_in[7] => mem[43][7].DATAIN
data_in[7] => mem[44][7].DATAIN
data_in[7] => mem[45][7].DATAIN
data_in[7] => mem[46][7].DATAIN
data_in[7] => mem[47][7].DATAIN
data_in[7] => mem[48][7].DATAIN
data_in[7] => mem[49][7].DATAIN
data_in[7] => mem[50][7].DATAIN
data_in[7] => mem[51][7].DATAIN
data_in[7] => mem[52][7].DATAIN
data_in[7] => mem[53][7].DATAIN
data_in[7] => mem[54][7].DATAIN
data_in[7] => mem[55][7].DATAIN
data_in[7] => mem[56][7].DATAIN
data_in[7] => mem[57][7].DATAIN
data_in[7] => mem[58][7].DATAIN
data_in[7] => mem[59][7].DATAIN
data_in[7] => mem[60][7].DATAIN
data_in[7] => mem[61][7].DATAIN
data_in[7] => mem[62][7].DATAIN
data_in[7] => mem[63][7].DATAIN
data_in[8] => mem[0][8].DATAIN
data_in[8] => mem[1][8].DATAIN
data_in[8] => mem[2][8].DATAIN
data_in[8] => mem[3][8].DATAIN
data_in[8] => mem[4][8].DATAIN
data_in[8] => mem[5][8].DATAIN
data_in[8] => mem[6][8].DATAIN
data_in[8] => mem[7][8].DATAIN
data_in[8] => mem[8][8].DATAIN
data_in[8] => mem[9][8].DATAIN
data_in[8] => mem[10][8].DATAIN
data_in[8] => mem[11][8].DATAIN
data_in[8] => mem[12][8].DATAIN
data_in[8] => mem[13][8].DATAIN
data_in[8] => mem[14][8].DATAIN
data_in[8] => mem[15][8].DATAIN
data_in[8] => mem[16][8].DATAIN
data_in[8] => mem[17][8].DATAIN
data_in[8] => mem[18][8].DATAIN
data_in[8] => mem[19][8].DATAIN
data_in[8] => mem[20][8].DATAIN
data_in[8] => mem[21][8].DATAIN
data_in[8] => mem[22][8].DATAIN
data_in[8] => mem[23][8].DATAIN
data_in[8] => mem[24][8].DATAIN
data_in[8] => mem[25][8].DATAIN
data_in[8] => mem[26][8].DATAIN
data_in[8] => mem[27][8].DATAIN
data_in[8] => mem[28][8].DATAIN
data_in[8] => mem[29][8].DATAIN
data_in[8] => mem[30][8].DATAIN
data_in[8] => mem[31][8].DATAIN
data_in[8] => mem[32][8].DATAIN
data_in[8] => mem[33][8].DATAIN
data_in[8] => mem[34][8].DATAIN
data_in[8] => mem[35][8].DATAIN
data_in[8] => mem[36][8].DATAIN
data_in[8] => mem[37][8].DATAIN
data_in[8] => mem[38][8].DATAIN
data_in[8] => mem[39][8].DATAIN
data_in[8] => mem[40][8].DATAIN
data_in[8] => mem[41][8].DATAIN
data_in[8] => mem[42][8].DATAIN
data_in[8] => mem[43][8].DATAIN
data_in[8] => mem[44][8].DATAIN
data_in[8] => mem[45][8].DATAIN
data_in[8] => mem[46][8].DATAIN
data_in[8] => mem[47][8].DATAIN
data_in[8] => mem[48][8].DATAIN
data_in[8] => mem[49][8].DATAIN
data_in[8] => mem[50][8].DATAIN
data_in[8] => mem[51][8].DATAIN
data_in[8] => mem[52][8].DATAIN
data_in[8] => mem[53][8].DATAIN
data_in[8] => mem[54][8].DATAIN
data_in[8] => mem[55][8].DATAIN
data_in[8] => mem[56][8].DATAIN
data_in[8] => mem[57][8].DATAIN
data_in[8] => mem[58][8].DATAIN
data_in[8] => mem[59][8].DATAIN
data_in[8] => mem[60][8].DATAIN
data_in[8] => mem[61][8].DATAIN
data_in[8] => mem[62][8].DATAIN
data_in[8] => mem[63][8].DATAIN
wr_ptr[0] => Decoder0.IN5
wr_ptr[1] => Decoder0.IN4
wr_ptr[2] => Decoder0.IN3
wr_ptr[3] => Decoder0.IN2
wr_ptr[4] => Decoder0.IN1
wr_ptr[5] => Decoder0.IN0
rd_ptr[0] => Mux0.IN5
rd_ptr[0] => Mux1.IN5
rd_ptr[0] => Mux2.IN5
rd_ptr[0] => Mux3.IN5
rd_ptr[0] => Mux4.IN5
rd_ptr[0] => Mux5.IN5
rd_ptr[0] => Mux6.IN5
rd_ptr[0] => Mux7.IN5
rd_ptr[0] => Mux8.IN5
rd_ptr[1] => Mux0.IN4
rd_ptr[1] => Mux1.IN4
rd_ptr[1] => Mux2.IN4
rd_ptr[1] => Mux3.IN4
rd_ptr[1] => Mux4.IN4
rd_ptr[1] => Mux5.IN4
rd_ptr[1] => Mux6.IN4
rd_ptr[1] => Mux7.IN4
rd_ptr[1] => Mux8.IN4
rd_ptr[2] => Mux0.IN3
rd_ptr[2] => Mux1.IN3
rd_ptr[2] => Mux2.IN3
rd_ptr[2] => Mux3.IN3
rd_ptr[2] => Mux4.IN3
rd_ptr[2] => Mux5.IN3
rd_ptr[2] => Mux6.IN3
rd_ptr[2] => Mux7.IN3
rd_ptr[2] => Mux8.IN3
rd_ptr[3] => Mux0.IN2
rd_ptr[3] => Mux1.IN2
rd_ptr[3] => Mux2.IN2
rd_ptr[3] => Mux3.IN2
rd_ptr[3] => Mux4.IN2
rd_ptr[3] => Mux5.IN2
rd_ptr[3] => Mux6.IN2
rd_ptr[3] => Mux7.IN2
rd_ptr[3] => Mux8.IN2
rd_ptr[4] => Mux0.IN1
rd_ptr[4] => Mux1.IN1
rd_ptr[4] => Mux2.IN1
rd_ptr[4] => Mux3.IN1
rd_ptr[4] => Mux4.IN1
rd_ptr[4] => Mux5.IN1
rd_ptr[4] => Mux6.IN1
rd_ptr[4] => Mux7.IN1
rd_ptr[4] => Mux8.IN1
rd_ptr[5] => Mux0.IN0
rd_ptr[5] => Mux1.IN0
rd_ptr[5] => Mux2.IN0
rd_ptr[5] => Mux3.IN0
rd_ptr[5] => Mux4.IN0
rd_ptr[5] => Mux5.IN0
rd_ptr[5] => Mux6.IN0
rd_ptr[5] => Mux7.IN0
rd_ptr[5] => Mux8.IN0
data_out[0] <= data_out[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[1] <= data_out[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[2] <= data_out[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[3] <= data_out[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[4] <= data_out[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[5] <= data_out[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[6] <= data_out[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[7] <= data_out[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
data_out[8] <= data_out[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|debounce_db:db_system_spwulight_b
CLK => PB_state.OUTPUTSELECT
CLK => PB_down~reg0.CLK
CLK => counter[0].CLK
CLK => counter[1].CLK
CLK => counter[2].CLK
CLK => counter[3].CLK
CLK => counter[4].CLK
CLK => counter[5].CLK
CLK => counter[6].CLK
CLK => counter[7].CLK
CLK => counter[8].CLK
CLK => counter[9].CLK
CLK => counter[10].CLK
CLK => counter[11].CLK
CLK => counter[12].CLK
CLK => counter[13].CLK
CLK => counter[14].CLK
CLK => counter[15].CLK
CLK => aux_pb.CLK
CLK => PB_state.OUTPUTSELECT
PB => aux_pb.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => counter.OUTPUTSELECT
PB => PB_down.OUTPUTSELECT
PB_state <= PB_state.DB_MAX_OUTPUT_PORT_TYPE
PB_down <= PB_down~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|clock_reduce:R_400_to_2_5_10_100_200_300MHZ
clk => clk_100_reduced_i.CLK
clk => clk_reduced_i.CLK
clk => counter_100[0].CLK
clk => counter_100[1].CLK
clk => counter_100[2].CLK
clk => counter_100[3].CLK
clk => counter_100[4].CLK
clk => counter_100[5].CLK
clk => counter_100[6].CLK
clk => counter_100[7].CLK
clk => counter_100[8].CLK
clk => counter_100[9].CLK
clk => counter_100[10].CLK
clk => counter[0].CLK
clk => counter[1].CLK
clk => counter[2].CLK
clk => counter[3].CLK
clk => counter[4].CLK
clk => counter[5].CLK
clk => counter[6].CLK
clk => counter[7].CLK
clk => counter[8].CLK
clk => counter[9].CLK
clk => counter[10].CLK
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => counter_100.OUTPUTSELECT
reset_n => clk_reduced_i.OUTPUTSELECT
reset_n => clk_100_reduced_i.OUTPUTSELECT
clock_sel[0] => Mux0.IN10
clock_sel[0] => Mux1.IN10
clock_sel[0] => Mux2.IN10
clock_sel[0] => Mux3.IN10
clock_sel[0] => Mux4.IN10
clock_sel[0] => Mux5.IN10
clock_sel[0] => Mux6.IN10
clock_sel[0] => Mux7.IN10
clock_sel[0] => Mux8.IN10
clock_sel[0] => Mux9.IN10
clock_sel[0] => Mux10.IN10
clock_sel[0] => Mux11.IN10
clock_sel[1] => Mux0.IN9
clock_sel[1] => Mux1.IN9
clock_sel[1] => Mux2.IN9
clock_sel[1] => Mux3.IN9
clock_sel[1] => Mux4.IN9
clock_sel[1] => Mux5.IN9
clock_sel[1] => Mux6.IN9
clock_sel[1] => Mux7.IN9
clock_sel[1] => Mux8.IN9
clock_sel[1] => Mux9.IN9
clock_sel[1] => Mux10.IN9
clock_sel[1] => Mux11.IN9
clock_sel[2] => Mux0.IN8
clock_sel[2] => Mux1.IN8
clock_sel[2] => Mux2.IN8
clock_sel[2] => Mux3.IN8
clock_sel[2] => Mux4.IN8
clock_sel[2] => Mux5.IN8
clock_sel[2] => Mux6.IN8
clock_sel[2] => Mux7.IN8
clock_sel[2] => Mux8.IN8
clock_sel[2] => Mux9.IN8
clock_sel[2] => Mux10.IN8
clock_sel[2] => Mux11.IN8
clk_reduced <= clk_reduced_i.DB_MAX_OUTPUT_PORT_TYPE
clk_100_reduced <= clk_100_reduced_i.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|detector_tokens:m_x
rx_din => rx_din.IN3
rx_sin => comb.IN0
rx_sin => always1.IN0
rx_resetn => rx_resetn.IN3
info[0] <= info[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[1] <= info[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[2] <= info[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[3] <= info[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[4] <= info[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[5] <= info[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[6] <= info[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[7] <= info[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[8] <= info[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[9] <= info[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[10] <= info[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[11] <= info[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[12] <= info[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
info[13] <= info[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|detector_tokens:m_x|bit_capture_data:capture_d
negedge_clk => bit_d_8~reg0.CLK
negedge_clk => bit_d_6~reg0.CLK
negedge_clk => bit_d_4~reg0.CLK
negedge_clk => bit_d_2~reg0.CLK
negedge_clk => bit_d_0~reg0.CLK
posedge_clk => bit_d_9~reg0.CLK
posedge_clk => bit_d_7~reg0.CLK
posedge_clk => bit_d_5~reg0.CLK
posedge_clk => bit_d_3~reg0.CLK
posedge_clk => bit_d_1~reg0.CLK
rx_resetn => bit_d_8~reg0.ACLR
rx_resetn => bit_d_6~reg0.ACLR
rx_resetn => bit_d_4~reg0.ACLR
rx_resetn => bit_d_2~reg0.ACLR
rx_resetn => bit_d_0~reg0.ACLR
rx_resetn => bit_d_9~reg0.ACLR
rx_resetn => bit_d_7~reg0.ACLR
rx_resetn => bit_d_5~reg0.ACLR
rx_resetn => bit_d_3~reg0.ACLR
rx_resetn => bit_d_1~reg0.ACLR
rx_din => bit_d_0~reg0.DATAIN
rx_din => bit_d_1~reg0.DATAIN
bit_d_0 <= bit_d_0~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_1 <= bit_d_1~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_2 <= bit_d_2~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_3 <= bit_d_3~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_4 <= bit_d_4~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_5 <= bit_d_5~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_6 <= bit_d_6~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_7 <= bit_d_7~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_8 <= bit_d_8~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_d_9 <= bit_d_9~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|detector_tokens:m_x|bit_capture_control:capture_c
negedge_clk => bit_c_2~reg0.CLK
negedge_clk => bit_c_0~reg0.CLK
posedge_clk => bit_c_3~reg0.CLK
posedge_clk => bit_c_1~reg0.CLK
rx_resetn => bit_c_2~reg0.ACLR
rx_resetn => bit_c_0~reg0.ACLR
rx_resetn => bit_c_3~reg0.ACLR
rx_resetn => bit_c_1~reg0.ACLR
rx_din => bit_c_0~reg0.DATAIN
rx_din => bit_c_1~reg0.DATAIN
bit_c_0 <= bit_c_0~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_1 <= bit_c_1~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_2 <= bit_c_2~reg0.DB_MAX_OUTPUT_PORT_TYPE
bit_c_3 <= bit_c_3~reg0.DB_MAX_OUTPUT_PORT_TYPE


|SPW_ULIGHT_FIFO|detector_tokens:m_x|counter_neg:cnt_neg
negedge_clk => counter_neg[0]~reg0.CLK
negedge_clk => counter_neg[1]~reg0.CLK
negedge_clk => counter_neg[2]~reg0.CLK
negedge_clk => counter_neg[3]~reg0.CLK
negedge_clk => counter_neg[4]~reg0.CLK
negedge_clk => counter_neg[5]~reg0.CLK
negedge_clk => control_bit_found.CLK
negedge_clk => is_control~reg0.CLK
rx_resetn => counter_neg[0]~reg0.PRESET
rx_resetn => counter_neg[1]~reg0.ACLR
rx_resetn => counter_neg[2]~reg0.ACLR
rx_resetn => counter_neg[3]~reg0.ACLR
rx_resetn => counter_neg[4]~reg0.ACLR
rx_resetn => counter_neg[5]~reg0.ACLR
rx_resetn => control_bit_found.ACLR
rx_resetn => is_control~reg0.ACLR
rx_din => control_bit_found.DATAIN
is_control <= is_control~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[0] <= counter_neg[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[1] <= counter_neg[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[2] <= counter_neg[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[3] <= counter_neg[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[4] <= counter_neg[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
counter_neg[5] <= counter_neg[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.