OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [ulight_fifo.qip] - Rev 32

Go to most recent revision | Compare with Previous | Blame | View Log

set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_TOOL_NAME "Qsys"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -library "ulight_fifo" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../ulight_fifo.sopcinfo"]
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name SLD_INFO "QSYS_NAME ulight_fifo HAS_SOPCINFO 1 GENERATION_ID 1502975928"
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "../ulight_fifo.cmp"]
set_global_assignment -library "ulight_fifo" -name SLD_FILE [file join $::quartus(qip_path) "ulight_fifo.regmap"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "ulight_fifo_hps_0_hps.svd"]
set_global_assignment -library "ulight_fifo" -name SLD_FILE [file join $::quartus(qip_path) "ulight_fifo.debuginfo"]
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_QSYS_MODE "SYSTEM"
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "../../ulight_fifo.qsys"]
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm8="
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "dWxpZ2h0X2ZpZm8="
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "On"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MS4w"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTUwMjk3NTkyOA==::QXV0byBHRU5FUkFUSU9OX0lE"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "ulight_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw=="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ=="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk="
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzA="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfYXZhbG9uX3N0X2FkYXB0ZXI="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MA==::VXNlIFBhY2tldA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MzQ=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MA==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::MQ==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MzQ=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MA==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::MQ==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfYXZhbG9uX3N0X2FkYXB0ZXJfZXJyb3JfYWRhcHRlcl8w"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfcnNwX211eA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MjI=::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfcnNwX2RlbXV4"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfY21kX211eA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfY21kX2RlbXV4"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MjI=::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjI=::VmFsaWQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9idXJzdF9hZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBCdXJzdCBBZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWNjb21tb2RhdGVzIHRoZSBidXJzdCBjYXBhYmlsaXRpZXMgb2YgZWFjaCBpbnRlcmZhY2UgaW4gdGhlIHN5c3RlbSwgaW5jbHVkaW5nIGludGVyZmFjZXMgdGhhdCBkbyBub3Qgc3VwcG9ydCBidXJzdCB0cmFuc2ZlcnMsIHRyYW5zbGF0aW5nIGJ1cnN0IHNpemVzIGFzIHJlcXVpcmVkLg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTM=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::ODg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::OTA=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::ODk=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::Nzk=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjY=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUX05BUlJPV19TSVpF::MA==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5fTkFSUk9XX1NJWkU=::MQ==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUX0ZJWEVE::MA==::c2xhdmUgZml4ZWQgb3V0cHV0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUX0NPTVBMRVRFX1dSQVA=::MA==::c2xhdmUgY29tcGxldGUgd3JhcCBvdXRwdXQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUX0JZVEVfQ05UX0g=::NzQ=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ5dGUgY291bnQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T1VUX0JVUlNUV1JBUF9I::ODU=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ1cnN0d3JhcCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NUFJFU1NFRF9SRUFEX1NVUFBPUlQ=::MQ==::Q29tcHJlc3NlZCByZWFkIHN1cHBvcnQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QllURUVOQUJMRV9TWU5USEVTSVM=::MQ==::Qnl0ZWVuYWJsZSBTeW50aGVzaXMgU3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElQRV9JTlBVVFM=::MA==::QnVyc3QgYWRhcHRlciBpbnB1dCBwaXBlbGluZQ=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9fV1JBUF9TVVBQT1JU::MA==::Tm8gd3JhcCBjYWxjdWxhdGlvbiBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DT01QTEVURV9XUkFQX1NVUFBPUlQ=::MA==::SW4tY29tcGxldGVkIHdyYXAgY2FsY3VsYXRpb24gc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX01BU0s=::MA==::QnVyc3R3cmFwLWNvbnN0YW50IG1hc2s="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX1ZBTFVF::MA==::QnVyc3R3cmFwLWNvbnN0YW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURBUFRFUl9WRVJTSU9O::MTMuMQ==::U2VsZWN0IGJ1cnN0IGFkYXB0ZXIgdmVyc2lvbg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl90cmFmZmljX2xpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBUcmFmZmljIExpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "RW5zdXJlcyB0aGUgcmVzcG9uc2VzIGFycml2ZSBpbiBvcmRlciwgc2ltcGxpZnlpbmcgdGhlIFFzeXMgcmVzcG9uc2UgbmV0d29yay4="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::OTk=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::MTE2::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBNU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::MTA1::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBMU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0xFTkdUSA==::MTY=::TWF4aW11bSBidXJzdCBsZW5ndGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX09VVFNUQU5ESU5HX1JFU1BPTlNFUw==::Mw==::TWF4aW11bSBvdXRzdGFuZGluZyByZXNwb25zZXM="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVE::MA==::UGlwZWxpbmU="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjI=::VmFsaWQgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5GT1JDRV9PUkRFUg==::MQ==::RW5mb3JjZSBvcmRlcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9IQVpBUkRT::MA==::UHJldmVudCBoYXphcmRz"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfUE9TVEVEX1dSSVRFUw==::MQ==::SGF6YXJkIHByZXZlbnRpb246IHBvc3RlZCB3cml0ZSBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfTk9OUE9TVEVEX1dSSVRFUw==::MA==::SGF6YXJkIHByZXZlbnRpb246IG5vbi1wb3N0ZWQgd3JpdGUgc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVPUkRFUg==::MA==::RW5hYmxlIHJlb3JkZXIgYnVmZmVy"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfcm91dGVyXzAwMg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCww::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::d3JpdGUscmVhZA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTE5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTE3::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOndyaXRlOjE6MDowOjEsMDoxMDoweDA6MHgwOnJlYWQ6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::LTE=::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::MA==::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::MQ==::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbW1faW50ZXJjb25uZWN0XzBfcm91dGVy"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MTIsMTYsMTksMTUsNSw0LDEsNiwxMSw5LDMsNywyLDE0LDAsMTMsMjAsMjEsMTAsOCwxNywxOA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMDAwMDAwMDAwMDAwMDAwMDAwMSwwMDAwMDAwMDAwMDAwMDAwMDAwMDEwLDAwMDAwMTAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDEwMCwwMDAwMTAwMDAwMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDAwMDAwMDEwMDAsMDAwMTAwMDAwMDAwMDAwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDAwMDEwMDAwLDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDEwMDAwMCwwMTAwMDAwMDAwMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAsMTAwMDAwMDAwMDAwMDAwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDEwMDAwMDAwLDAwMDAwMDAwMDAwMDAxMDAwMDAwMDAsMDAwMDAwMDAwMDAwMTAwMDAwMDAwMCwwMDAwMDAwMDAwMDEwMDAwMDAwMDAwLDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAsMDAwMDAwMDAwMTAwMDAwMDAwMDAwMCwwMDAwMDAwMDEwMDAwMDAwMDAwMDAwLDAwMDAwMDAxMDAwMDAwMDAwMDAwMDAsMDAwMDAwMTAwMDAwMDAwMDAwMDAwMA==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxyZWFkLHJlYWQscmVhZCxyZWFkLHJlYWQsYm90aCxib3RoLHJlYWQscmVhZCxyZWFkLHJlYWQscmVhZCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgscmVhZCxyZWFkLGJvdGgsYm90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MTAwMDAsMHgxYTAwMCwweDIwMDAwLDB4MmEwMDAsMHgzMDAwMCwweDNhMDAwLDB4NDAwMDAsMHg0YTAwMCwweDUwMDAwLDB4NWEwMDAsMHg2MDAwMCwweDZhMDAwLDB4NzAwMDAsMHg4MDAwMCwweDkwMDAwLDB4YTAwMDAsMHhiMDAwMCwweGMwMDAwLDB4ZDAwMDAsMHhlMDAwMCwweGYwMDAw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxMCwweDEwMDEwLDB4MWEwMTAsMHgyMDAxMCwweDJhMDEwLDB4MzAwMTAsMHgzYTAxMCwweDQwMDEwLDB4NGEwMTAsMHg1MDAxMCwweDVhMDEwLDB4NjAwMTAsMHg2YTAxMCwweDcwMDEwLDB4ODAwMTAsMHg5MDAxMCwweGEwMDEwLDB4YjAwMTAsMHhjMDAxMCwweGQwMDEwLDB4ZTAwMTAsMHhmMDAxMA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTE5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTE3::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MTI6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMToweDA6MHgxMDpib3RoOjE6MDowOjEsMTY6MDAwMDAwMDAwMDAwMDAwMDAwMDAxMDoweDEwMDAwOjB4MTAwMTA6cmVhZDoxOjA6MDoxLDE5OjAwMDAwMTAwMDAwMDAwMDAwMDAwMDA6MHgxYTAwMDoweDFhMDEwOnJlYWQ6MTowOjA6MSwxNTowMDAwMDAwMDAwMDAwMDAwMDAwMTAwOjB4MjAwMDA6MHgyMDAxMDpyZWFkOjE6MDowOjEsNTowMDAwMTAwMDAwMDAwMDAwMDAwMDAwOjB4MmEwMDA6MHgyYTAxMDpyZWFkOjE6MDowOjEsNDowMDAwMDAwMDAwMDAwMDAwMDAxMDAwOjB4MzAwMDA6MHgzMDAxMDpyZWFkOjE6MDowOjEsMTowMDAxMDAwMDAwMDAwMDAwMDAwMDAwOjB4M2EwMDA6MHgzYTAxMDpib3RoOjE6MDowOjEsNjowMDAwMDAwMDAwMDAwMDAwMDEwMDAwOjB4NDAwMDA6MHg0MDAxMDpib3RoOjE6MDowOjEsMTE6MDAxMDAwMDAwMDAwMDAwMDAwMDAwMDoweDRhMDAwOjB4NGEwMTA6cmVhZDoxOjA6MDoxLDk6MDAwMDAwMDAwMDAwMDAwMDEwMDAwMDoweDUwMDAwOjB4NTAwMTA6cmVhZDoxOjA6MDoxLDM6MDEwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDVhMDAwOjB4NWEwMTA6cmVhZDoxOjA6MDoxLDc6MDAwMDAwMDAwMDAwMDAwMTAwMDAwMDoweDYwMDAwOjB4NjAwMTA6cmVhZDoxOjA6MDoxLDI6MTAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDZhMDAwOjB4NmEwMTA6cmVhZDoxOjA6MDoxLDE0OjAwMDAwMDAwMDAwMDAwMTAwMDAwMDA6MHg3MDAwMDoweDcwMDEwOmJvdGg6MTowOjA6MSwwOjAwMDAwMDAwMDAwMDAxMDAwMDAwMDA6MHg4MDAwMDoweDgwMDEwOmJvdGg6MTowOjA6MSwxMzowMDAwMDAwMDAwMDAxMDAwMDAwMDAwOjB4OTAwMDA6MHg5MDAxMDpib3RoOjE6MDowOjEsMjA6MDAwMDAwMDAwMDAxMDAwMDAwMDAwMDoweGEwMDAwOjB4YTAwMTA6Ym90aDoxOjA6MDoxLDIxOjAwMDAwMDAwMDAxMDAwMDAwMDAwMDA6MHhiMDAwMDoweGIwMDEwOmJvdGg6MTowOjA6MSwxMDowMDAwMDAwMDAxMDAwMDAwMDAwMDAwOjB4YzAwMDA6MHhjMDAxMDpyZWFkOjE6MDowOjEsODowMDAwMDAwMDEwMDAwMDAwMDAwMDAwOjB4ZDAwMDA6MHhkMDAxMDpyZWFkOjE6MDowOjEsMTc6MDAwMDAwMDEwMDAwMDAwMDAwMDAwMDoweGUwMDAwOjB4ZTAwMTA6Ym90aDoxOjA6MDoxLDE4OjAwMDAwMDEwMDAwMDAwMDAwMDAwMDA6MHhmMDAwMDoweGYwMDEwOmJvdGg6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MTI=::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::MTMw::Qml0cyBwZXIgc3ltYm9s"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::Mg==::RklGTyBkZXB0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::MQ==::TGF0ZW5jeQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MA==::VXNlIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTI4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTI2::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTI1::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTI0::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::ODg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTM=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTE5::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTE3::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::Nzk=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjY=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::OTk=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MQ==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::MTI3::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SUQ=::MTI=::U2xhdmUgSUQ="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RUNDX0VOQUJMRQ==::MA==::RUNDX0VOQUJMRQ=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9heGlfbWFzdGVyX25p"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QVhJIE1hc3RlciBOZXR3b3JrIEludGVyZmFjZQ=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "Q29udmVydCBBWEkgdHJhbnNhY3Rpb24gdG8gUXN5cyBwYWNrZXQ="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SURfV0lEVEg=::MTI=::QVhJIG1hc3RlciBJRCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9XSURUSA==::MzA=::QVhJIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRBVEFfV0lEVEg=::MzI=::QVhJIG1hc3RlciByZWFkIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0RBVEFfV0lEVEg=::MzI=::QVhJIG1hc3RlciB3cml0ZSBkYXRhIHdpZHRo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9VU0VSX1dJRFRI::MQ==::QVhJIG1hc3RlciB1c2VyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUQV9VU0VSX1dJRFRI::MQ==::QVhJIG1hc3RlciB1c2VyIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVhJX0JVUlNUX0xFTkdUSF9XSURUSA==::NA==::QVhJIG1hc3RlciBidXJzdCBsZW5ndGggd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVhJX0xPQ0tfV0lEVEg=::Mg==::QVhJIG1hc3RlciBsb2NrIHdpZHRo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJfVVNFUg==::MA==::RW5hYmxlIEFYSSBhZGRyZXNzIHNpZGViYW5kIHNpZ25hbHM="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVhJX1ZFUlNJT04=::QVhJMw==::QVhJIFZlcnNpb24="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V1JJVEVfSVNTVUlOR19DQVBBQklMSVRZ::OA==::TWFzdGVyIHdyaXRlIG91dHN0YW5kaW5nIHRyYW5zYWN0aW9ucw=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9JU1NVSU5HX0NBUEFCSUxJVFk=::OA==::TWFzdGVyIHJlYWQgb3V0c3RhbmRpbmcgdHJhbnNhY3Rpb25z"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTM=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::MTIz::UGFja2V0IEFYSSBjYWNoZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::MTIw::UGFja2V0IEFYSSBjYWNoZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::OTE=::UGFja2V0IEFYSSBhZGRyZXNzIHNpZGViYW5kIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::OTE=::UGFja2V0IEFYSSBhZGRyZXNzIHNpZGViYW5kIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTE5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTE3::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::ODg=::UGFja2V0IEFYSSBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODY=::UGFja2V0IEFYSSBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::OTA=::UGFja2V0IEFYSSBidXJzdCB0eXBlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::ODk=::UGFja2V0IEFYSSBidXJzdCB0eXBlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTI0::UGFja2V0IHJlc3BvbnNlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTI1::UGFja2V0IHJlc3BvbnNlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODU=::UGFja2V0IEFYSSBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::Nzk=::UGFja2V0IEFYSSBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjU=::UGFja2V0IEFYSSBhZGRyZXNzIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IEFYSSBhZGRyZXNzIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NzE=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NzA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjY=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::Njc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IEFYSSBkYXRhIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IEFYSSBkYXRhIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFia2UgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFia2UgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::OTk=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA0::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAw::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::MTE2::UGFja2V0IHRocmVhZCBpZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::MTA1::UGFja2V0IHRocmVhZCBpZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::OTQ=::UGFja2V0IFFvUyBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::OTQ=::UGFja2V0IFFvUyBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTI2::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTI4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::OTI=::UGFja2V0IEFYSSBkYXRhIHNpZGViYW5kIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::OTI=::UGFja2V0IEFYSSBkYXRhIHNpZGViYW5kIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTI5::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjI=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::TWFzdGVyIElE"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTI4OjEyNikgcmVzcG9uc2Vfc3RhdHVzKDEyNToxMjQpIGNhY2hlKDEyMzoxMjApIHByb3RlY3Rpb24oMTE5OjExNykgdGhyZWFkX2lkKDExNjoxMDUpIGRlc3RfaWQoMTA0OjEwMCkgc3JjX2lkKDk5Ojk1KSBxb3MoOTQpIGJlZ2luX2J1cnN0KDkzKSBkYXRhX3NpZGViYW5kKDkyKSBhZGRyX3NpZGViYW5kKDkxKSBidXJzdF90eXBlKDkwOjg5KSBidXJzdF9zaXplKDg4Ojg2KSBidXJzdHdyYXAoODU6NzkpIGJ5dGVfY250KDc4OjcyKSB0cmFuc19leGNsdXNpdmUoNzEpIHRyYW5zX2xvY2soNzApIHRyYW5zX3JlYWQoNjkpIHRyYW5zX3dyaXRlKDY4KSB0cmFuc19wb3N0ZWQoNjcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NikgYWRkcig2NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::Mg==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MzI=::TmV0d29yayBEYXRhIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::MQ==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MzA=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MA==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MA==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MQ==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MA==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MA==::VXNlIGNvbXBvbmVudCBjbGtlbg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::NTAwMDAwMDA=::Q0xPQ0tfUkFURQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MQ==::QVZfUkVBRF9XQUlUX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MA==::QVZfV1JJVEVfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fd3JpdGVfZGF0YV9maWZvX3R4"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::ZmFsc2U=::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fdGltZWNvZGVfdHhfZGF0YQ=="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::ZmFsc2U=::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OA==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fdGltZWNvZGVfcng="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OA==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fcGxsXzA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNTRU1BNFUyM0M2::ZGV2aWNl"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::MTAwLjA=::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::MTAwLjAgTUh6::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2ZyYWN0aW9uYWxfY291dA==::MzI=::cGxsX2ZyYWN0aW9uYWxfY291dA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::cGxsX2RzbV9vdXRfc2Vs"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::MQ==::TnVtYmVyIE9mIENsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::MQ==::bnVtYmVyX29mX2Nsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NDAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::NA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NDAwLjAwMDAwMCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T2Zm::UExMIEF1dG8gUmVzZXQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::Q3ljbG9uZSBW::UExMIFRZUEU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bV9jbnRfaGlfZGl2::Mg==::bV9jbnRfaGlfZGl2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bV9jbnRfbG9fZGl2::Mg==::bV9jbnRfbG9fZGl2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bl9jbnRfaGlfZGl2::MjU2::bl9jbnRfaGlfZGl2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bl9jbnRfbG9fZGl2::MjU2::bl9jbnRfbG9fZGl2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bV9jbnRfYnlwYXNzX2Vu::ZmFsc2U=::bV9jbnRfYnlwYXNzX2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bl9jbnRfYnlwYXNzX2Vu::dHJ1ZQ==::bl9jbnRfYnlwYXNzX2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bV9jbnRfb2RkX2Rpdl9kdXR5X2Vu::ZmFsc2U=::bV9jbnRfb2RkX2Rpdl9kdXR5X2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bl9jbnRfb2RkX2Rpdl9kdXR5X2Vu::ZmFsc2U=::bl9jbnRfb2RkX2Rpdl9kdXR5X2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MA==::MjU2::Y19jbnRfaGlfZGl2MA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MA==::MjU2::Y19jbnRfbG9fZGl2MA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDA=::MQ==::Y19jbnRfcHJzdDA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Qw::MA==::Y19jbnRfcGhfbXV4X3Byc3Qw"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMA==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMA==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMA==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MQ==::MQ==::Y19jbnRfaGlfZGl2MQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MQ==::MQ==::Y19jbnRfbG9fZGl2MQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDE=::MQ==::Y19jbnRfcHJzdDE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Qx::MA==::Y19jbnRfcGhfbXV4X3Byc3Qx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMQ==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMQ==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMQ==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2Mg==::MQ==::Y19jbnRfaGlfZGl2Mg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2Mg==::MQ==::Y19jbnRfbG9fZGl2Mg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDI=::MQ==::Y19jbnRfcHJzdDI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Qy::MA==::Y19jbnRfcGhfbXV4X3Byc3Qy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMg==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMg==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMg==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2Mw==::MQ==::Y19jbnRfaGlfZGl2Mw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2Mw==::MQ==::Y19jbnRfbG9fZGl2Mw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDM=::MQ==::Y19jbnRfcHJzdDM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Qz::MA==::Y19jbnRfcGhfbXV4X3Byc3Qz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMw==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMw==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMw==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2NA==::MQ==::Y19jbnRfaGlfZGl2NA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2NA==::MQ==::Y19jbnRfbG9fZGl2NA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDQ=::MQ==::Y19jbnRfcHJzdDQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q0::MA==::Y19jbnRfcGhfbXV4X3Byc3Q0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjNA==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjNA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuNA==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuNA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNA==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2NQ==::MQ==::Y19jbnRfaGlfZGl2NQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2NQ==::MQ==::Y19jbnRfbG9fZGl2NQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDU=::MQ==::Y19jbnRfcHJzdDU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q1::MA==::Y19jbnRfcGhfbXV4X3Byc3Q1"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjNQ==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjNQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuNQ==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuNQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNQ==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2Ng==::MQ==::Y19jbnRfaGlfZGl2Ng=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2Ng==::MQ==::Y19jbnRfbG9fZGl2Ng=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDY=::MQ==::Y19jbnRfcHJzdDY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q2::MA==::Y19jbnRfcGhfbXV4X3Byc3Q2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjNg==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjNg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuNg==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuNg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNg==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2Nw==::MQ==::Y19jbnRfaGlfZGl2Nw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2Nw==::MQ==::Y19jbnRfbG9fZGl2Nw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDc=::MQ==::Y19jbnRfcHJzdDc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q3::MA==::Y19jbnRfcGhfbXV4X3Byc3Q3"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjNw==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjNw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuNw==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuNw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNw==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuNw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2OA==::MQ==::Y19jbnRfaGlfZGl2OA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2OA==::MQ==::Y19jbnRfbG9fZGl2OA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDg=::MQ==::Y19jbnRfcHJzdDg="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q4::MA==::Y19jbnRfcGhfbXV4X3Byc3Q4"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjOA==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjOA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuOA==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuOA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuOA==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuOA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2OQ==::MQ==::Y19jbnRfaGlfZGl2OQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2OQ==::MQ==::Y19jbnRfbG9fZGl2OQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDk=::MQ==::Y19jbnRfcHJzdDk="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3Q5::MA==::Y19jbnRfcGhfbXV4X3Byc3Q5"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjOQ==::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjOQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuOQ==::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuOQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuOQ==::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuOQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTA=::MQ==::Y19jbnRfaGlfZGl2MTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTA=::MQ==::Y19jbnRfbG9fZGl2MTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDEw::MQ==::Y19jbnRfcHJzdDEw"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxMA==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxMA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTA=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTA=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTA=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTA="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTE=::MQ==::Y19jbnRfaGlfZGl2MTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTE=::MQ==::Y19jbnRfbG9fZGl2MTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDEx::MQ==::Y19jbnRfcHJzdDEx"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxMQ==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxMQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTE=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTE=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTE=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTE="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTI=::MQ==::Y19jbnRfaGlfZGl2MTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTI=::MQ==::Y19jbnRfbG9fZGl2MTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDEy::MQ==::Y19jbnRfcHJzdDEy"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxMg==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxMg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTI=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTI=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTI=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTI="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTM=::MQ==::Y19jbnRfaGlfZGl2MTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTM=::MQ==::Y19jbnRfbG9fZGl2MTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDEz::MQ==::Y19jbnRfcHJzdDEz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxMw==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxMw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTM=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTM=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTM=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTM="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTQ=::MQ==::Y19jbnRfaGlfZGl2MTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTQ=::MQ==::Y19jbnRfbG9fZGl2MTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDE0::MQ==::Y19jbnRfcHJzdDE0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxNA==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxNA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTQ=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTQ=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTQ=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTU=::MQ==::Y19jbnRfaGlfZGl2MTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTU=::MQ==::Y19jbnRfbG9fZGl2MTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDE1::MQ==::Y19jbnRfcHJzdDE1"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxNQ==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxNQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTU=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTU=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTU=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTY=::MQ==::Y19jbnRfaGlfZGl2MTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTY=::MQ==::Y19jbnRfbG9fZGl2MTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDE2::MQ==::Y19jbnRfcHJzdDE2"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxNg==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxNg=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTY=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTY=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTY=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaGlfZGl2MTc=::MQ==::Y19jbnRfaGlfZGl2MTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfbG9fZGl2MTc=::MQ==::Y19jbnRfbG9fZGl2MTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcHJzdDE3::MQ==::Y19jbnRfcHJzdDE3"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfcGhfbXV4X3Byc3QxNw==::MA==::Y19jbnRfcGhfbXV4X3Byc3QxNw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfaW5fc3JjMTc=::cGhfbXV4X2Nsaw==::Y19jbnRfaW5fc3JjMTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfYnlwYXNzX2VuMTc=::dHJ1ZQ==::Y19jbnRfYnlwYXNzX2VuMTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTc=::ZmFsc2U=::Y19jbnRfb2RkX2Rpdl9kdXR5X2VuMTc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX3Zjb19kaXY=::Mg==::cGxsX3Zjb19kaXY="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2NwX2N1cnJlbnQ=::MzA=::cGxsX2NwX2N1cnJlbnQ="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2J3Y3RybA==::MjAwMA==::cGxsX2J3Y3RybA=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX291dHB1dF9jbGtfZnJlcXVlbmN5::NDAwLjAgTUh6::cGxsX291dHB1dF9jbGtfZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2ZyYWN0aW9uYWxfZGl2aXNpb24=::MQ==::cGxsX2ZyYWN0aW9uYWxfZGl2aXNpb24="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWltaWNfZmJjbGtfdHlwZQ==::bm9uZQ==::bWltaWNfZmJjbGtfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2ZiY2xrX211eF8x::Z2xi::cGxsX2ZiY2xrX211eF8x"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2ZiY2xrX211eF8y::bV9jbnQ=::cGxsX2ZiY2xrX211eF8y"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX21fY250X2luX3NyYw==::cGhfbXV4X2Nsaw==::cGxsX21fY250X2luX3NyYw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX3NsZl9yc3Q=::ZmFsc2U=::cGxsX3NsZl9yc3Q="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MiwyLDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSwyNTYsMjU2LDEsMCxwaF9tdXhfY2xrLHRydWUsZmFsc2UsMiwzMCwyMDAwLDQwMC4wIE1IeiwxLG5vbmUsZ2xiLG1fY250LHBoX211eF9jbGssZmFsc2U=::UGFyYW1ldGVyIFZhbHVlcw=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::dHJ1ZQ==::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsazFfZnJlcXVlbmN5::MTAwLjA=::U2Vjb25kIFJlZmVyZW5jZSBDbG9jayBGcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3N3aXRjaG92ZXJfbW9kZQ==::QXV0b21hdGljIFN3aXRjaG92ZXI=::U3dpdGNob3ZlciBNb2Rl"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX3N3aXRjaG92ZXJfZGVsYXk=::MA==::U3dpdGNob3ZlciBEZWxheQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdGl2ZV9jbGs=::ZmFsc2U=::Q3JlYXRlIGFuICdhY3RpdmVfY2xrJyBzaWduYWwgdG8gaW5kaWNhdGUgdGhlIGlucHV0IGNsb2NrIGluIHVzZQ=="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2Nsa19iYWQ=::ZmFsc2U=::Q3JlYXRlIGEgJ2Nsa2JhZCcgc2lnbmFsIGZvciBlYWNoIG9mIHRoZSBpbnB1dCBjbG9ja3M="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVmY2xrMV9mcmVxdWVuY3k=::MTAwLjAgTUh6::cmVmY2xrMV9mcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2Nsa19sb3NzX3N3X2Vu::dHJ1ZQ==::cGxsX2Nsa19sb3NzX3N3X2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX21hbnVfY2xrX3N3X2Vu::ZmFsc2U=::cGxsX21hbnVfY2xrX3N3X2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2F1dG9fY2xrX3N3X2Vu::dHJ1ZQ==::cGxsX2F1dG9fY2xrX3N3X2Vu"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2Nsa2luXzFfc3Jj::Y2xrXzE=::cGxsX2Nsa2luXzFfc3Jj"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGxsX2Nsa19zd19kbHk=::MA==::cGxsX2Nsa19zd19kbHk="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw="
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fbGVkX3Bpb190ZXN0"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::ZmFsc2U=::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::NQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9faHBzXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "QXJyaWEgVi9DeWNsb25lIFYgSGFyZCBQcm9jZXNzb3IgU3lzdGVt"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDExMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMTAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDExMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMTAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMTAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAxMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::dHJ1ZQ==::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JM::Mg==::TVIxX0JM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JU::MA==::TVIxX0JU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dD::MA==::TVIxX1dD"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dS::MQ==::TVIxX1dS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JMV0w=::MQ==::TVIyX1JMV0w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX0RT::Mg==::TVIzX0RT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RT::MA==::TVIxX0RT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1BBU1I=::MA==::TVIxX1BBU1I="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTM=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTI=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::OA==::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::ZmFsc2U=::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::Mi41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MjUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTc=::TUVNX1RSQw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTM=::TUVNX1RSQVM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjEwMQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MjM=::TUVNX1RSRkM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTI=::TUVNX1RGQVc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mw==::TUVNX1RSUkQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::NDUw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::ZmFsc2U=::RkxZX0JZ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::Mg==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0L2RlcHRoIGV4cGFuc2lvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NDAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTI=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::OA==::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTc1::dElTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MjUw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::NTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::MTI1::dERIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTIw::dERRU1E="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSFM=::MzAw::dFFIUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::NDAw::dERRU0NL"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNI::MC4zNQ==::dERRU0g="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC4zOA==::dFFTSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NDk5::dElOSVQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTQ5NzAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::Mw==::dE1SRCAodE1SVyk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::NDAuMA==::dFJBUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTUuMA==::dFJDRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTUuMA==::dFJQ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny4w::dFJFRkkgKHRSRUZJYWIp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::NzUuMA==::dFJGQyAodFJGQ2FiKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Mg==::dFdUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzcuNQ==::dEZBVw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::MTA=::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::OA==::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::MQ==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::Mg==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::Mg==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::Mg==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::OA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MTA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1BPUlQ=::UG9ydCAw::UG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MQ==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MQ==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MQ==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MQ==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MQ==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MQ==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::Tm9uZSxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::Tm9uZSxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzg=::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU0VMRl9SRlNIX0VYSVRfQ1lDTEVT::U0VMRl9SRlNIX0VYSVRfQ1lDTEVTXzUxMg==::Y2ZnX3NlbGZfcmZzaF9leGl0X2N5Y2xlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzEw::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9ST1dfQkFOS19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF8xNl9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RU5BQkxFRA==::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8w::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF84::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzE=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF84::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM184MDBfNV81XzU=::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzc=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF82::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xMg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVE1SRA==::VE1SRF80::bWVtX2lmX3RtcmQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xMw==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzE3::bWVtX2lmX3RyYw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF81::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzU=::bWVtX2lmX3RycA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF8z::bWVtX2lmX3RycmQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF8z::bWVtX2lmX3RydHA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzU=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl8y::bWVtX2lmX3R3dHI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::Mg==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::Mw==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MjEwMQ==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MjM=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MQ==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::MQ==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF8zMl9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMQ==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMQ==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QRF9DWUNMRVM=::MA==::QXV0byBQb3dlci1Eb3duIEN5Y2xlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19BVVRPX0NPUlJFQ1RJT05fRU5BQkxFRA==::ZmFsc2U=::RW5hYmxlIEF1dG8gRXJyb3IgQ29ycmVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19OVU0=::NA==::TnVtYmVyIG9mIGJhbmtzIHRvIHRyYWNr"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::OA==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::dHJ1ZQ==::R0VORVJJQ19QTEw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTcw::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::dHJ1ZQ==::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::dHJ1ZQ==::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::dHJ1ZQ==::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::RlVMTA==::VEJfUkFURQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::OA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTI1LjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::MTI1LjAgTUh6::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::OC4w::UkVGX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::ODAwMC4w::UkVGX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::MjQ=::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MTA=::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::MjQ=::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MTA=::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::MjQ=::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MTA=::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::MjQ=::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MTA=::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::MjQ=::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MTA=::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::NjAuMA==::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MTY2NzAgcHM=::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MTAuMA==::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::NTAwMDAwMA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MjAuMA==::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::NTAwMTAgcHM=::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MTUwMDAwMDA=::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MzAwLjA=::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MzMzNCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MTAwMDAwMA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::MjQ=::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MTA=::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::MjQ=::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MTA=::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::MjQ=::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MTA=::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::MjQ=::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MTA=::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::NjY2OCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::MjQ=::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MTA=::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREVfQ0FDSEU=::Nw==::U1BFRURfR1JBREVfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MTI1LjA=::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::RnVsbA==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::TklPUw==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MQ==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NQ==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::dHJ1ZQ==::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::Mg==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::MA==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::MA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::NA==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::NA==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::OA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::Ng==::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::MjU=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::MjU=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::MzE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MzE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MzE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MzE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::MA==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::Ng==::TUVNX1RfV0w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::Nw==::TUVNX1RfUkw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::dHJ1ZQ==::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zNQ==::dElT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4zNQ==::dElI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4yMjU=::dERT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4yMjU=::dERI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::dHJ1ZQ==::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MQ==::QUREUl9DTURfRERS"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MQ==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::Mg==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MjY=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MQ==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MQ==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::Mg==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MTY=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MQ==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::MQ==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::MA==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::MA==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::Q1lDTE9ORVY=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Nw==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::dHJ1ZQ==::SEFSRF9QSFk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::dHJ1ZQ==::SEhQX0hQUw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::RERSMw==::U0RSQU0gUHJvdG9jb2w="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Nw==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTY=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2hvd19hZHZhbmNlZF9wYXJhbWV0ZXJz::ZmFsc2U=::U2hvdyBDbG9jayBNYW5hZ2VyIGFkdmFuY2VkIHBhcmFtZXRlcnM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y29uZmlndXJlX2FkdmFuY2VkX3BhcmFtZXRlcnM=::ZmFsc2U=::Q29uZmlndXJlIENsb2NrIE1hbmFnZXIgYWR2YW5jZWQgcGFyYW1ldGVycyBtYW51YWxseQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX3BsbF9pbmZvX2F1dG8=::ezMyMDAwMDAwMCAxODUwMDAwMDAwfSB7MzIwMDAwMDAwIDEwMDAwMDAwMDB9IHs5MjUwMDAwMDAgNDAwMDAwMDAwIDQwMDAwMDAwMH0=::RGV2aWNlIFBMTCBpbmZvIChhdXRvKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y3VzdG9taXplX2RldmljZV9wbGxfaW5mbw==::ZmFsc2U=::Q3VzdG9taXplIGRldmljZSBQTEwgaW5mbw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2hvd19kZWJ1Z19pbmZvX2FzX3dhcm5pbmdfbXNn::ZmFsc2U=::U2hvdyBkZWJ1ZyBpbmZvcm1hdGlvbiBhcyB3YXJuaW5nIG1lc3NhZ2Vz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2hvd193YXJuaW5nX2FzX2Vycm9yX21zZw==::ZmFsc2U=::U2hvdyBjbG9jayBzZXR0aW5nIGlzc3VlcyBhcyBlcnJvciBtZXNzYWdlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW9zYzFfY2xrX21oeg==::MjUuMA==::RU9TQzEgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW9zYzFfY2xrX2h6::MjUwMDAwMDA=::ZW9zYzFfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW9zYzJfY2xrX21oeg==::MjUuMA==::RU9TQzIgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW9zYzJfY2xrX2h6::MjUwMDAwMDA=::ZW9zYzJfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1NEUkFNQ0xLX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIFNEUkFNIFBMTCByZWZlcmVuY2UgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1BFUklQSENMS19FbmFibGU=::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIHBlcmlwaGVyYWwgUExMIHJlZmVyZW5jZSBjbG9jaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1NEUkFNQ0xLX0ZSRVE=::MA==::RjJTQ0xLX1NEUkFNQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1NEUkFNQ0xLX0ZSRVFfTUha::MC4w::RlBHQS10by1IUFMgU0RSQU0gUExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1BFUklQSENMS19GUkVR::MA==::RjJTQ0xLX1BFUklQSENMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1BFUklQSENMS19GUkVRX01IWg==::MC4w::RlBHQS10by1IUFMgcGVyaXBoZXJhbCBQTEwgcmVmZXJlbmNlIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9zb3VyY2U=::MA==::UGVyaXBoZXJhbCBQTEwgcmVmZXJlbmNlIGNsb2NrIHNvdXJjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfbXBfY2xrX3NvdXJjZQ==::MQ==::TDQgTVAgY2xvY2sgc291cmNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfc3BfY2xrX3NvdXJjZQ==::MQ==::TDQgU1AgY2xvY2sgc291cmNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVmYXVsdF9tcHVfY2xrX21oeg==::OTI1LjA=::RGVmYXVsdCBNUFUgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVmYXVsdF9tcHVfY2xrX2h6::OTI1MDAwMDAw::ZGVmYXVsdF9tcHVfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "dXNlX2RlZmF1bHRfbXB1X2Nsaw==::dHJ1ZQ==::VXNlIGRlZmF1bHQgTVBVIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfbXBfY2xrX2Rpdg==::MQ==::TDMgTVAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfc3BfY2xrX2Rpdg==::MQ==::TDMgU1AgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJjdHJsX3N0YXlvc2Mx::dHJ1ZQ==::RGVidWcgY2xvY2tzIHN0YXkgb24gRU9TQzEgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2F0X2Nsa19kaXY=::MA==::RGVidWcgQVQgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2Nsa19kaXY=::MQ==::RGVidWcgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX3RyYWNlX2Nsa19kaXY=::MA==::RGVidWcgdHJhY2UgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9sNF9tcF9jbGtfbWh6::MTAwLjA=::TDQgTVAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9sNF9tcF9jbGtfaHo=::MTAwMDAwMDAw::ZGVzaXJlZF9sNF9tcF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9sNF9zcF9jbGtfbWh6::MTAwLjA=::TDQgU1AgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9sNF9zcF9jbGtfaHo=::MTAwMDAwMDAw::ZGVzaXJlZF9sNF9zcF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9jZmdfY2xrX21oeg==::MTAwLjA=::Q29uZmlndXJhdGlvbi9IUFMtdG8tRlBHQSB1c2VyIDAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVzaXJlZF9jZmdfY2xrX2h6::MTAwMDAwMDAw::ZGVzaXJlZF9jZmdfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIwQ0xLX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEhQUy10by1GUEdBIHVzZXIgMCBjbG9jaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIxQ0xLX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEhQUy10by1GUEdBIHVzZXIgMSBjbG9jaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIyQ0xLX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEhQUy10by1GUEdBIHVzZXIgMiBjbG9jaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIwQ0xLX0ZSRVFfSFo=::MTAwMDAwMDAw::UzJGQ0xLX1VTRVIwQ0xLX0ZSRVFfSFo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIxQ0xLX0ZSRVFfSFo=::MTAwMDAwMDAw::UzJGQ0xLX1VTRVIxQ0xLX0ZSRVFfSFo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1VTRVIyQ0xLX0ZSRVFfSFo=::MTAwMDAwMDAw::UzJGQ0xLX1VTRVIyQ0xLX0ZSRVFfSFo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfbV9hdXRv::NzM=::TWFpbiBQTEwgTSBtdWx0aXBsaWVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfbl9hdXRv::MA==::TWFpbiBQTEwgTiBkaXZpZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfdmNvX2F1dG9faHo=::MTg1MDAwMDAwMA==::bWFpbl9wbGxfdmNvX2F1dG9faHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfdmNvX2F1dG9fbWh6::MTg1MC4w::TWFpbiBQTEwgVkNPIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzBfaW50ZXJuYWxfYXV0bw==::MQ==::TWFpbiBQTEwgQzAgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzFfaW50ZXJuYWxfYXV0bw==::NA==::TWFpbiBQTEwgQzEgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzJfaW50ZXJuYWxfYXV0bw==::NA==::TWFpbiBQTEwgQzIgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzNfYXV0bw==::NTEx::TWFpbiBQTEwgQzMgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzRfYXV0bw==::NTEx::TWFpbiBQTEwgQzQgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzVfYXV0bw==::MTg=::TWFpbiBQTEwgQzUgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfdmNvX2h6::MTYwMDAwMDAwMA==::bWFpbl9wbGxfdmNvX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfdmNvX21oeg==::MTYwMC4w::TWFpbiBQTEwgVkNPIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzBfaW50ZXJuYWw=::MQ==::TWFpbiBQTEwgQzAgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzFfaW50ZXJuYWw=::NA==::TWFpbiBQTEwgQzEgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9wbGxfYzJfaW50ZXJuYWw=::NA==::TWFpbiBQTEwgQzIgY291bnRlciAoaW50ZXJuYWwp"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2Jhc2VfY2xrX2h6::OTI1MDAwMDAw::bXB1X2Jhc2VfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2Jhc2VfY2xrX21oeg==::OTI1LjA=::TVBVIGJhc2UgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9jbGtfaHo=::MzcwMDAwMDAw::bWFpbl9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9jbGtfbWh6::MzcwLjA=::TWFpbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2Jhc2VfY2xrX2h6::MjUwMDAwMDA=::ZGJnX2Jhc2VfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2Jhc2VfY2xrX21oeg==::MjUuMA==::RGVidWcgYmFzZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9xc3BpX2Nsa19oeg==::MzYxMzI4MQ==::bWFpbl9xc3BpX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9xc3BpX2Nsa19taHo=::My42MTMyODE=::TWFpbiBRU1BJIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9uYW5kX3NkbW1jX2Nsa19oeg==::MzYxMzI4MQ==::bWFpbl9uYW5kX3NkbW1jX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bWFpbl9uYW5kX3NkbW1jX2Nsa19taHo=::My42MTMyODE=::TWFpbiBOQU5EIFNETU1DIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2ZnX2gyZl91c2VyMF9jbGtfaHo=::OTczNjg0MjE=::Y2ZnX2gyZl91c2VyMF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2ZnX2gyZl91c2VyMF9jbGtfbWh6::OTcuMzY4NDIx::Q29uZmlndXJhdGlvbi9IUFMtdG8tRlBHQSB1c2VyIDAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X3BlcmlwaF9jbGtfaHo=::MjMxMjUwMDAw::bXB1X3BlcmlwaF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X3BlcmlwaF9jbGtfbWh6::MjMxLjI1::TVBVIHBlcmlwaGVyYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2wyX3JhbV9jbGtfaHo=::NDYyNTAwMDAw::bXB1X2wyX3JhbV9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2wyX3JhbV9jbGtfbWh6::NDYyLjU=::TVBVIEwyIFJBTSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2Nsa19oeg==::OTI1MDAwMDAw::bXB1X2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bXB1X2Nsa19taHo=::OTI1LjA=::TVBVIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfbXBfY2xrX2h6::MTg1MDAwMDAw::bDNfbXBfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfbXBfY2xrX21oeg==::MTg1LjA=::TDMgTVAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfc3BfY2xrX2h6::OTI1MDAwMDA=::bDNfc3BfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDNfc3BfY2xrX21oeg==::OTIuNQ==::TDMgU1AgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2F0X2Nsa19oeg==::MjUwMDAwMDA=::ZGJnX2F0X2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2F0X2Nsa19taHo=::MjUuMA==::RGVidWcgQVQgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2Nsa19oeg==::MTI1MDAwMDA=::ZGJnX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX2Nsa19taHo=::MTIuNQ==::RGVidWcgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX3RyYWNlX2Nsa19oeg==::MjUwMDAwMDA=::ZGJnX3RyYWNlX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX3RyYWNlX2Nsa19taHo=::MjUuMA==::RGVidWcgdHJhY2UgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX3RpbWVyX2Nsa19oeg==::MjUwMDAwMDA=::ZGJnX3RpbWVyX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGJnX3RpbWVyX2Nsa19taHo=::MjUuMA==::RGVidWcgdGltZXIgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2ZnX2Nsa19oeg==::OTczNjg0MjE=::Y2ZnX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2ZnX2Nsa19taHo=::OTcuMzY4NDIx::Q29uZmlndXJhdGlvbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aDJmX3VzZXIwX2Nsa19oeg==::OTczNjg0MjE=::aDJmX3VzZXIwX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aDJmX3VzZXIwX2Nsa19taHo=::OTcuMzY4NDIx::SFBTLXRvLUZQR0EgdXNlciAwIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9tX2F1dG8=::Mzk=::UGVyaXBoZXJhbCBQTEwgTSBtdWx0aXBsaWVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9uX2F1dG8=::MA==::UGVyaXBoZXJhbCBQTEwgTiBkaXZpZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF92Y29fYXV0b19oeg==::MTAwMDAwMDAwMA==::cGVyaXBoX3BsbF92Y29fYXV0b19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF92Y29fYXV0b19taHo=::MTAwMC4w::UGVyaXBoZXJhbCBQTEwgVkNPIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jMF9hdXRv::NTEx::UGVyaXBoZXJhbCBQTEwgQzAgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jMV9hdXRv::NTEx::UGVyaXBoZXJhbCBQTEwgQzEgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jMl9hdXRv::NTEx::UGVyaXBoZXJhbCBQTEwgQzIgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jM19hdXRv::NTEx::UGVyaXBoZXJhbCBQTEwgQzMgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jNF9hdXRv::OQ==::UGVyaXBoZXJhbCBQTEwgQzQgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF9jNV9hdXRv::NTEx::UGVyaXBoZXJhbCBQTEwgQzUgY291bnRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF92Y29faHo=::MTAwMDAwMDAwMA==::cGVyaXBoX3BsbF92Y29faHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3BsbF92Y29fbWh6::MTAwMC4w::UGVyaXBoZXJhbCBQTEwgVkNPIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3FzcGlfY2xrX2h6::MTk1MzEyNQ==::cGVyaXBoX3FzcGlfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX3FzcGlfY2xrX21oeg==::MS45NTMxMjU=::UGVyaXBoZXJhbCBRU1BJIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX25hbmRfc2RtbWNfY2xrX2h6::MTk1MzEyNQ==::cGVyaXBoX25hbmRfc2RtbWNfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX25hbmRfc2RtbWNfY2xrX21oeg==::MS45NTMxMjU=::UGVyaXBoZXJhbCBOQU5EIFNETU1DIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX2Jhc2VfY2xrX2h6::MTAwMDAwMDAw::cGVyaXBoX2Jhc2VfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGVyaXBoX2Jhc2VfY2xrX21oeg==::MTAwLjA=::UGVyaXBoZXJhbCBiYXNlIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "dXNiX21wX2Nsa19kaXZfYXV0bw==::NA==::VVNCIE1QIGNsb2NrIGRpdmlkZXI="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c3BpX21fY2xrX2Rpdl9hdXRv::NA==::U1BJIE0gY2xvY2sgZGl2aWRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMF9jbGtfZGl2X2F1dG8=::NA==::Q0FOMCBjbG9jayBkaXZpZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMV9jbGtfZGl2X2F1dG8=::NA==::Q0FOMSBjbG9jayBkaXZpZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3Bpb19kYl9jbGtfZGl2X2F1dG8=::MTY3NzcyMTU=::R1BJTyBkZWJvdW5jZSBjbG9jayBkaXZpZGVy"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW1hYzBfY2xrX2h6::MTk1MzEyNQ==::ZW1hYzBfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW1hYzBfY2xrX21oeg==::MS45NTMxMjU=::RU1BQzAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW1hYzFfY2xrX2h6::MTk1MzEyNQ==::ZW1hYzFfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZW1hYzFfY2xrX21oeg==::MS45NTMxMjU=::RU1BQzEgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aDJmX3VzZXIxX2Nsa19oeg==::MTk1MzEyNQ==::aDJmX3VzZXIxX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aDJmX3VzZXIxX2Nsa19taHo=::MS45NTMxMjU=::SFBTLXRvLUZQR0EgdXNlciAxIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "dXNiX21wX2Nsa19oeg==::NjI1MDAwMA==::dXNiX21wX2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "dXNiX21wX2Nsa19taHo=::Ni4yNQ==::VVNCIE1QIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c3BpX21fY2xrX2h6::NjI1MDAwMA==::c3BpX21fY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c3BpX21fY2xrX21oeg==::Ni4yNQ==::U1BJIE0gY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMF9jbGtfaHo=::NjI1MDAwMA==::Y2FuMF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMF9jbGtfbWh6::Ni4yNQ==::Q0FOMCBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMV9jbGtfaHo=::NjI1MDAwMA==::Y2FuMV9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FuMV9jbGtfbWh6::Ni4yNQ==::Q0FOMSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z3Bpb19kYl9jbGtfaHo=::NQ==::R1BJTyBkZWJvdW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfbXBfY2xrX2Rpdl9hdXRv::MA==::TDQgTVAgY2xvY2sgZGl2aWRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfc3BfY2xrX2Rpdl9hdXRv::MA==::TDQgU1AgY2xvY2sgZGl2aWRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2RtbWNfY2xrX2h6::MTk1MzEyNQ==::c2RtbWNfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2RtbWNfY2xrX21oeg==::MS45NTMxMjU=::U0RNTUMgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bmFuZF94X2Nsa19oeg==::MTk1MzEyNQ==::bmFuZF94X2Nsa19oeg=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bmFuZF94X2Nsa19taHo=::MS45NTMxMjU=::TkFORCBYIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bmFuZF9jbGtfaHo=::NDg4Mjgx::bmFuZF9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bmFuZF9jbGtfbWh6::MC40ODgyODE=::TkFORCBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXNwaV9jbGtfaHo=::MzYxMzI4MQ==::cXNwaV9jbGtfaHo="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXNwaV9jbGtfbWh6::My42MTMyODE=::UVNQSSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfbXBfY2xrX2h6::MTAwMDAwMDAw::bDRfbXBfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfbXBfY2xrX21oeg==::MTAwLjA=::TDQgTVAgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfc3BfY2xrX2h6::MTAwMDAwMDAw::bDRfc3BfY2xrX2h6"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "bDRfc3BfY2xrX21oeg==::MTAwLjA=::TDQgU1AgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVBVX0VWRU5UU19FbmFibGU=::ZmFsc2U=::RW5hYmxlIE1QVSBzdGFuZGJ5IGFuZCBldmVudCBzaWduYWxz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R1BfRW5hYmxl::ZmFsc2U=::RW5hYmxlIGdlbmVyYWwgcHVycG9zZSBzaWduYWxz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVCVUdBUEJfRW5hYmxl::ZmFsc2U=::RW5hYmxlIERlYnVnIEFQQiBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RNX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIFN5c3RlbSBUcmFjZSBNYWNyb2NlbGwgaGFyZHdhcmUgZXZlbnRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RJX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEZQR0EgQ3Jvc3MgVHJpZ2dlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFBJVUZQR0FfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEZQR0EgVHJhY2UgUG9ydCBJbnRlcmZhY2UgVW5pdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Qk9PVEZST01GUEdBX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIGJvb3QgZnJvbSBmcGdhIHNpZ25hbHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEVTVF9FbmFibGU=::ZmFsc2U=::RW5hYmxlIFRlc3QgSW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SExHUElfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEhMR1BJIEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTX1dpZHRo::MA==::RlBHQS10by1IUFMgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGX1dpZHRo::MQ==::SFBTLXRvLUZQR0EgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFdIMkZfRW5hYmxl::ZmFsc2U=::TGlnaHR3ZWlnaHQgSFBTLXRvLUZQR0EgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTRFJBTV9XaWR0aF9MYXN0X1NpemU=::MA==::RjJTRFJBTV9XaWR0aF9MYXN0X1NpemU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTRFJBTV9DTURfUE9SVF9VU0VE::MHgw::RjJTRFJBTV9DTURfUE9SVF9VU0VE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTRFJBTV9XUl9QT1JUX1VTRUQ=::MHgw::RjJTRFJBTV9XUl9QT1JUX1VTRUQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTRFJBTV9SRF9QT1JUX1VTRUQ=::MHgw::RjJTRFJBTV9SRF9QT1JUX1VTRUQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTRFJBTV9SU1RfUE9SVF9VU0VE::MHgw::RjJTRFJBTV9SU1RfUE9SVF9VU0VE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX0NPTERSU1RfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEhQUy10by1GUEdBIGNvbGQgcmVzZXQgb3V0cHV0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGQ0xLX1BFTkRJTkdSU1RfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEhQUyB3YXJtIHJlc2V0IGhhbmRzaGFrZSBzaWduYWxz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX0RCR1JTVF9FbmFibGU=::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIGRlYnVnIHJlc2V0IHJlcXVlc3Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX1dBUk1SU1RfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIHdhcm0gcmVzZXQgcmVxdWVzdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTQ0xLX0NPTERSU1RfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIGNvbGQgcmVzZXQgcmVxdWVzdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RE1BX1BlcmlwaElkX0RFUklWRUQ=::MCwxLDIsMyw0LDUsNiw3::UGVyaXBoZXJhbCBSZXF1ZXN0IElE"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RE1BX0VuYWJsZQ==::Tm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8=::RW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJTSU5URVJSVVBUX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEZQR0EtdG8tSFBTIEludGVycnVwdHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0NBTl9FbmFibGU=::ZmFsc2U=::RW5hYmxlIENBTiBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0NMT0NLUEVSSVBIRVJBTF9FbmFibGU=::ZmFsc2U=::RW5hYmxlIGNsb2NrIHBlcmlwaGVyYWwgaW50ZXJydXB0cw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0NUSV9FbmFibGU=::ZmFsc2U=::RW5hYmxlIENUSSBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0RNQV9FbmFibGU=::ZmFsc2U=::RW5hYmxlIERNQSBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0VNQUNfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEVNQUMgaW50ZXJydXB0cyAoZm9yIEVNQUMwIGFuZCBFTUFDMSk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0ZQR0FNQU5BR0VSX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIEZQR0EgbWFuYWdlciBpbnRlcnJ1cHQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0dQSU9fRW5hYmxl::ZmFsc2U=::RW5hYmxlIEdQSU8gaW50ZXJydXB0cw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0kyQ0VNQUNfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEkyQy1FTUFDIGludGVycnVwdHMgKGZvciBJMkMyIGFuZCBJMkMzKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0kyQ1BFUklQSEVSQUxfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEkyQyBwZXJpcGhlcmFsIGludGVycnVwdHMgKGZvciBJMkMwIGFuZCBJMkMxKQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX0w0VElNRVJfRW5hYmxl::ZmFsc2U=::RW5hYmxlIEw0IHRpbWVyIGludGVycnVwdHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX05BTkRfRW5hYmxl::ZmFsc2U=::RW5hYmxlIE5BTkQgaW50ZXJydXB0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX09TQ1RJTUVSX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIE9TQyB0aW1lciBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1FTUElfRW5hYmxl::ZmFsc2U=::RW5hYmxlIFF1YWQgU1BJIGludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1NETU1DX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIFNEL01NQyBpbnRlcnJ1cHQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1NQSU1BU1RFUl9FbmFibGU=::ZmFsc2U=::RW5hYmxlIFNQSSBtYXN0ZXIgaW50ZXJydXB0cw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1NQSVNMQVZFX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIFNQSSBzbGF2ZSBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1VBUlRfRW5hYmxl::ZmFsc2U=::RW5hYmxlIFVBUlQgaW50ZXJydXB0cw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1VTQl9FbmFibGU=::ZmFsc2U=::RW5hYmxlIFVTQiBpbnRlcnJ1cHRz"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UzJGSU5URVJSVVBUX1dBVENIRE9HX0VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIHdhdGNoZG9nIGludGVycnVwdHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU1BQzBfUGluTXV4aW5n::VW51c2Vk::RU1BQzAgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU1BQzBfTW9kZQ==::Ti9B::RU1BQzAgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU1BQzFfUGluTXV4aW5n::VW51c2Vk::RU1BQzEgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU1BQzFfTW9kZQ==::Ti9B::RU1BQzEgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkFORF9QaW5NdXhpbmc=::VW51c2Vk::TkFORCBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkFORF9Nb2Rl::Ti9B::TkFORCBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVNQSV9QaW5NdXhpbmc=::VW51c2Vk::UVNQSSBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVNQSV9Nb2Rl::Ti9B::UVNQSSBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0RJT19QaW5NdXhpbmc=::VW51c2Vk::U0RJTyBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0RJT19Nb2Rl::Ti9B::U0RJTyBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNCMF9QaW5NdXhpbmc=::VW51c2Vk::VVNCMCBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNCMF9Nb2Rl::Ti9B::VVNCMCBQSFkgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNCMV9QaW5NdXhpbmc=::VW51c2Vk::VVNCMSBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNCMV9Nb2Rl::Ti9B::VVNCMSBQSFkgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJTTBfUGluTXV4aW5n::VW51c2Vk::U1BJTTAgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJTTBfTW9kZQ==::Ti9B::U1BJTTAgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJTTFfUGluTXV4aW5n::VW51c2Vk::U1BJTTEgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJTTFfTW9kZQ==::Ti9B::U1BJTTEgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJUzBfUGluTXV4aW5n::VW51c2Vk::U1BJUzAgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJUzBfTW9kZQ==::Ti9B::U1BJUzAgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJUzFfUGluTXV4aW5n::VW51c2Vk::U1BJUzEgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BJUzFfTW9kZQ==::Ti9B::U1BJUzEgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFSVDBfUGluTXV4aW5n::VW51c2Vk::VUFSVDAgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFSVDBfTW9kZQ==::Ti9B::VUFSVDAgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFSVDFfUGluTXV4aW5n::VW51c2Vk::VUFSVDEgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VUFSVDFfTW9kZQ==::Ti9B::VUFSVDEgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMF9QaW5NdXhpbmc=::VW51c2Vk::STJDMCBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMF9Nb2Rl::Ti9B::STJDMCBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMV9QaW5NdXhpbmc=::VW51c2Vk::STJDMSBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMV9Nb2Rl::Ti9B::STJDMSBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMl9QaW5NdXhpbmc=::VW51c2Vk::STJDMiBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDMl9Nb2Rl::Ti9B::STJDMiBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDM19QaW5NdXhpbmc=::VW51c2Vk::STJDMyBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "STJDM19Nb2Rl::Ti9B::STJDMyBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FOMF9QaW5NdXhpbmc=::VW51c2Vk::Q0FOMCBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FOMF9Nb2Rl::Ti9B::Q0FOMCBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FOMV9QaW5NdXhpbmc=::VW51c2Vk::Q0FOMSBwaW4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FOMV9Nb2Rl::Ti9B::Q0FOMSBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0VfUGluTXV4aW5n::VW51c2Vk::VFJBQ0UgcGlu"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0VfTW9kZQ==::Ti9B::VFJBQ0UgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q3VzdG9tZXJfUGluX05hbWVfREVSSVZFRA==::UkdNSUkwX1RYX0NMSyxSR01JSTBfVFhEMCxSR01JSTBfVFhEMSxSR01JSTBfVFhEMixSR01JSTBfVFhEMyxSR01JSTBfUlhEMCxSR01JSTBfTURJTyxSR01JSTBfTURDICxSR01JSTBfUlhfQ1RMLFJHTUlJMF9UWF9DVEwsUkdNSUkwX1JYX0NMSyxSR01JSTBfUlhEMSxSR01JSTBfUlhEMixSR01JSTBfUlhEMyxOQU5EX0FMRSxOQU5EX0NFLE5BTkRfQ0xFLE5BTkRfUkUsTkFORF9SQixOQU5EX0RRMCxOQU5EX0RRMSxOQU5EX0RRMixOQU5EX0RRMyxOQU5EX0RRNCxOQU5EX0RRNSxOQU5EX0RRNixOQU5EX0RRNyxOQU5EX1dQLE5BTkRfV0UsUVNQSV9JTzAsUVNQSV9JTzEsUVNQSV9JTzIsUVNQSV9JTzMsUVNQSV9TUzAsUVNQSV9DTEssUVNQSV9TUzEsU0RNTUNfQ01ELFNETU1DX1BXUkVOLFNETU1DX0QwLFNETU1DX0QxLFNETU1DX0Q0LFNETU1DX0Q1LFNETU1DX0Q2LFNETU1DX0Q3LEhQU19HUElPNDQsU0RNTUNfQ0NMS19PVVQsU0RNTUNfRDIsU0RNTUNfRDMsVFJBQ0VfQ0xLLFRSQUNFX0QwLFRSQUNFX0QxLFRSQUNFX0QyLFRSQUNFX0QzLFRSQUNFX0Q0LFRSQUNFX0Q1LFRSQUNFX0Q2LFRSQUNFX0Q3LFNQSU0wX0NMSyxTUElNMF9NT1NJLFNQSU0wX01JU08sU1BJTTBfU1MwLFVBUlQwX1JYLFVBUlQwX1RYLEkyQzBfU0RBLEkyQzBfU0NMLENBTjBfUlgsQ0FOMF9UWA==::UGluIE5hbWU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R1BJT19Db25mbGljdF9ERVJJVkVE::LCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCwsLCw=::VXNlZCBieQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R1BJT19OYW1lX0RFUklWRUQ=::R1BJTzAwLEdQSU8wMSxHUElPMDIsR1BJTzAzLEdQSU8wNCxHUElPMDUsR1BJTzA2LEdQSU8wNyxHUElPMDgsR1BJTzA5LEdQSU8xMCxHUElPMTEsR1BJTzEyLEdQSU8xMyxHUElPMTQsR1BJTzE1LEdQSU8xNixHUElPMTcsR1BJTzE4LEdQSU8xOSxHUElPMjAsR1BJTzIxLEdQSU8yMixHUElPMjMsR1BJTzI0LEdQSU8yNSxHUElPMjYsR1BJTzI3LEdQSU8yOCxHUElPMjksR1BJTzMwLEdQSU8zMSxHUElPMzIsR1BJTzMzLEdQSU8zNCxHUElPMzUsR1BJTzM2LEdQSU8zNyxHUElPMzgsR1BJTzM5LEdQSU80MCxHUElPNDEsR1BJTzQyLEdQSU80MyxHUElPNDQsR1BJTzQ1LEdQSU80NixHUElPNDcsR1BJTzQ4LEdQSU80OSxHUElPNTAsR1BJTzUxLEdQSU81MixHUElPNTMsR1BJTzU0LEdQSU81NSxHUElPNTYsR1BJTzU3LEdQSU81OCxHUElPNTksR1BJTzYwLEdQSU82MSxHUElPNjIsR1BJTzYzLEdQSU82NCxHUElPNjUsR1BJTzY2::R1BJTw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R1BJT19FbmFibGU=::Tm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8=::R1BJTyBFbmFibGVk"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9BTklPX05hbWVfREVSSVZFRA==::TE9BTklPMDAsTE9BTklPMDEsTE9BTklPMDIsTE9BTklPMDMsTE9BTklPMDQsTE9BTklPMDUsTE9BTklPMDYsTE9BTklPMDcsTE9BTklPMDgsTE9BTklPMDksTE9BTklPMTAsTE9BTklPMTEsTE9BTklPMTIsTE9BTklPMTMsTE9BTklPMTQsTE9BTklPMTUsTE9BTklPMTYsTE9BTklPMTcsTE9BTklPMTgsTE9BTklPMTksTE9BTklPMjAsTE9BTklPMjEsTE9BTklPMjIsTE9BTklPMjMsTE9BTklPMjQsTE9BTklPMjUsTE9BTklPMjYsTE9BTklPMjcsTE9BTklPMjgsTE9BTklPMjksTE9BTklPMzAsTE9BTklPMzEsTE9BTklPMzIsTE9BTklPMzMsTE9BTklPMzQsTE9BTklPMzUsTE9BTklPMzYsTE9BTklPMzcsTE9BTklPMzgsTE9BTklPMzksTE9BTklPNDAsTE9BTklPNDEsTE9BTklPNDIsTE9BTklPNDMsTE9BTklPNDQsTE9BTklPNDUsTE9BTklPNDYsTE9BTklPNDcsTE9BTklPNDgsTE9BTklPNDksTE9BTklPNTAsTE9BTklPNTEsTE9BTklPNTIsTE9BTklPNTMsTE9BTklPNTQsTE9BTklPNTUsTE9BTklPNTYsTE9BTklPNTcsTE9BTklPNTgsTE9BTklPNTksTE9BTklPNjAsTE9BTklPNjEsTE9BTklPNjIsTE9BTklPNjMsTE9BTklPNjQsTE9BTklPNjUsTE9BTklPNjY=::TG9hbiBJL08="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R1BJT19QaW5fVXNlZF9ERVJJVkVE::ZmFsc2U=::R1BJTyBQaW4gVXNlZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9BTklPX0VuYWJsZQ==::Tm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8=::TG9hbiBJL08gRW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9DT05GTElDVF9QSU4=::Tm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8sTm8=::SkFWQV9DT05GTElDVF9QSU4="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9HVUlfUElOX0xJU1Q=::RU1BQ0lPMCxFTUFDSU8xLEVNQUNJTzIsRU1BQ0lPMyxFTUFDSU80LEVNQUNJTzUsRU1BQ0lPNixFTUFDSU83LEVNQUNJTzgsRU1BQ0lPOSxFTUFDSU8xMCxFTUFDSU8xMSxFTUFDSU8xMixFTUFDSU8xMyxNSVhFRDFJTzAsTUlYRUQxSU8xLE1JWEVEMUlPMixNSVhFRDFJTzMsTUlYRUQxSU80LE1JWEVEMUlPNSxNSVhFRDFJTzYsTUlYRUQxSU83LE1JWEVEMUlPOCxNSVhFRDFJTzksTUlYRUQxSU8xMCxNSVhFRDFJTzExLE1JWEVEMUlPMTIsTUlYRUQxSU8xMyxNSVhFRDFJTzE0LE1JWEVEMUlPMTUsTUlYRUQxSU8xNixNSVhFRDFJTzE3LE1JWEVEMUlPMTgsTUlYRUQxSU8xOSxNSVhFRDFJTzIwLE1JWEVEMUlPMjEsRkxBU0hJTzAsRkxBU0hJTzEsRkxBU0hJTzIsRkxBU0hJTzMsRkxBU0hJTzQsRkxBU0hJTzUsRkxBU0hJTzYsRkxBU0hJTzcsRkxBU0hJTzgsRkxBU0hJTzksRkxBU0hJTzEwLEZMQVNISU8xMSxHRU5FUkFMSU8wLEdFTkVSQUxJTzEsR0VORVJBTElPMixHRU5FUkFMSU8zLEdFTkVSQUxJTzQsR0VORVJBTElPNSxHRU5FUkFMSU82LEdFTkVSQUxJTzcsR0VORVJBTElPOCxHRU5FUkFMSU85LEdFTkVSQUxJTzEwLEdFTkVSQUxJTzExLEdFTkVSQUxJTzEyLEdFTkVSQUxJTzEzLEdFTkVSQUxJTzE0LEdFTkVSQUxJTzE1LEdFTkVSQUxJTzE2LEdFTkVSQUxJTzE3LEdFTkVSQUxJTzE4::SkFWQV9HVUlfUElOX0xJU1Q="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9FTUFDMF9EQVRB::RU1BQzAge3NpZ25hbHNfYnlfbW9kZSB7e1JHTUlJIHdpdGggSTJDMn0ge1RYX0NMSyBUWF9DVEwgVFhEMCBUWEQxIFRYRDIgVFhEMyBSWF9DTEsgUlhfQ1RMIFJYRDAgUlhEMSBSWEQyIFJYRDN9IFJHTUlJIHtUWF9DTEsgVFhfQ1RMIFRYRDAgVFhEMSBUWEQyIFRYRDMgUlhfQ0xLIFJYX0NUTCBSWEQwIFJYRDEgUlhEMiBSWEQzIE1ESU8gTURDfX0gcGluX3NldHMge3tIUFMgSS9PIFNldCAwfSB7bGlua2VkX3BlcmlwaGVyYWxfcGluX3NldCB7SFBTIEkvTyBTZXQgMH0gbXV4X3NlbGVjdHMgezMgMyAzIDMgMyAzIDMgMyAzIDMgMyAzIDMgM30gcGlucyB7RU1BQ0lPMCBFTUFDSU8xIEVNQUNJTzIgRU1BQ0lPMyBFTUFDSU80IEVNQUNJTzUgRU1BQ0lPNiBFTUFDSU83IEVNQUNJTzggRU1BQ0lPOSBFTUFDSU8xMCBFTUFDSU8xMSBFTUFDSU8xMiBFTUFDSU8xM30gc2lnbmFscyB7VFhfQ0xLIFRYRDAgVFhEMSBUWEQyIFRYRDMgUlhEMCBNRElPIE1EQyBSWF9DVEwgVFhfQ1RMIFJYX0NMSyBSWEQxIFJYRDIgUlhEM30gdmFsaWRfbW9kZXMge1JHTUlJIHtSR01JSSB3aXRoIEkyQzJ9fSBsb2NhdGlvbnMge1BJTl9QMjhBMFQgUElOX1AyOEIwVCBQSU5fUDI4QTFUIFBJTl9QMjhCMVQgUElOX1AyOUEwVCBQSU5fUDI5QjBUIFBJTl9QMjlBMVQgUElOX1AyOUIxVCBQSU5fUDMwQTBUIFBJTl9QMzBCMFQgUElOX1AzMEExVCBQSU5fUDMwQjFUIFBJTl9QMzFBMFQgUElOX1AzMUIwVH0gbGlua2VkX3BlcmlwaGVyYWwgSTJDMiBsaW5rZWRfcGVyaXBoZXJhbF9tb2RlIHtVc2VkIGJ5IEVNQUMwfSBzaWduYWxfcGFydHMge3t7fSBFTUFDX0NMS19UWCgwOjApIHt9fSB7e30gRU1BQ19QSFlfVFhEKDA6MCkge319IHt7fSBFTUFDX1BIWV9UWEQoMToxKSB7fX0ge3t9IEVNQUNfUEhZX1RYRCgyOjIpIHt9fSB7e30gRU1BQ19QSFlfVFhEKDM6Mykge319IHtFTUFDX1BIWV9SWEQoMDowKSB7fSB7fX0ge0VNQUNfR01JSV9NRE9fSSgwOjApIEVNQUNfR01JSV9NRE9fTygwOjApIEVNQUNfR01JSV9NRE9fT0UoMDowKX0ge3t9IEVNQUNfR01JSV9NREMoMDowKSB7fX0ge0VNQUNfUEhZX1JYRFYoMDowKSB7fSB7fX0ge3t9IEVNQUNfUEhZX1RYX09FKDA6MCkge319IHtFTUFDX0NMS19SWCgwOjApIHt9IHt9fSB7RU1BQ19QSFlfUlhEKDE6MSkge30ge319IHtFTUFDX1BIWV9SWEQoMjoyKSB7fSB7fX0ge0VNQUNfUEhZX1JYRCgzOjMpIHt9IHt9fX19fX0=::SkFWQV9FTUFDMF9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9FTUFDMV9EQVRB::RU1BQzEge3NpZ25hbHNfYnlfbW9kZSB7e1JHTUlJIHdpdGggSTJDM30ge1RYX0NMSyBUWF9DVEwgVFhEMCBUWEQxIFRYRDIgVFhEMyBSWF9DTEsgUlhfQ1RMIFJYRDAgUlhEMSBSWEQyIFJYRDN9IFJHTUlJIHtUWF9DTEsgVFhfQ1RMIFRYRDAgVFhEMSBUWEQyIFRYRDMgUlhfQ0xLIFJYX0NUTCBSWEQwIFJYRDEgUlhEMiBSWEQzIE1ESU8gTURDfX0gcGluX3NldHMge3tIUFMgSS9PIFNldCAwfSB7bGlua2VkX3BlcmlwaGVyYWxfcGluX3NldCB7SFBTIEkvTyBTZXQgMH0gbXV4X3NlbGVjdHMgezIgMiAyIDIgMiAyIDIgMiAyIDIgMiAyIDIgMn0gcGlucyB7TUlYRUQxSU8wIE1JWEVEMUlPMSBNSVhFRDFJTzIgTUlYRUQxSU8zIE1JWEVEMUlPNCBNSVhFRDFJTzUgTUlYRUQxSU82IE1JWEVEMUlPNyBNSVhFRDFJTzggTUlYRUQxSU85IE1JWEVEMUlPMTAgTUlYRUQxSU8xMSBNSVhFRDFJTzEyIE1JWEVEMUlPMTN9IHNpZ25hbHMge1RYX0NMSyBUWEQwIFRYRDEgVFhEMiBUWEQzIFJYRDAgTURJTyBNREMgUlhfQ1RMIFRYX0NUTCBSWF9DTEsgUlhEMSBSWEQyIFJYRDN9IHZhbGlkX21vZGVzIHtSR01JSSB7UkdNSUkgd2l0aCBJMkMzfX0gbG9jYXRpb25zIHtQSU5fUDE5QTBUIFBJTl9QMTlCMFQgUElOX1AxOUExVCBQSU5fUDE5QjFUIFBJTl9QMjBBMFQgUElOX1AyMEIwVCBQSU5fUDIwQTFUIFBJTl9QMjBCMVQgUElOX1AyMUEwVCBQSU5fUDIxQjBUIFBJTl9QMjFBMVQgUElOX1AyMUIxVCBQSU5fUDIyQTBUIFBJTl9QMjJCMFR9IGxpbmtlZF9wZXJpcGhlcmFsIEkyQzMgbGlua2VkX3BlcmlwaGVyYWxfbW9kZSB7VXNlZCBieSBFTUFDMX0gc2lnbmFsX3BhcnRzIHt7e30gRU1BQ19DTEtfVFgoMDowKSB7fX0ge3t9IEVNQUNfUEhZX1RYRCgwOjApIHt9fSB7e30gRU1BQ19QSFlfVFhEKDE6MSkge319IHt7fSBFTUFDX1BIWV9UWEQoMjoyKSB7fX0ge3t9IEVNQUNfUEhZX1RYRCgzOjMpIHt9fSB7RU1BQ19QSFlfUlhEKDA6MCkge30ge319IHtFTUFDX0dNSUlfTURPX0koMDowKSBFTUFDX0dNSUlfTURPX08oMDowKSBFTUFDX0dNSUlfTURPX09FKDA6MCl9IHt7fSBFTUFDX0dNSUlfTURDKDA6MCkge319IHtFTUFDX1BIWV9SWERWKDA6MCkge30ge319IHt7fSBFTUFDX1BIWV9UWF9PRSgwOjApIHt9fSB7RU1BQ19DTEtfUlgoMDowKSB7fSB7fX0ge0VNQUNfUEhZX1JYRCgxOjEpIHt9IHt9fSB7RU1BQ19QSFlfUlhEKDI6Mikge30ge319IHtFTUFDX1BIWV9SWEQoMzozKSB7fSB7fX19fX19::SkFWQV9FTUFDMV9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9OQU5EX0RBVEE=::TkFORCB7c2lnbmFsc19ieV9tb2RlIHt7T05GSSAxLjB9IHtBTEUgQ0UgQ0xFIFJFIFJCIERRMCBEUTEgRFEyIERRMyBEUTQgRFE1IERRNiBEUTcgV1AgV0V9fSBwaW5fc2V0cyB7e0hQUyBJL08gU2V0IDB9IHtsb2NhdGlvbnMge1BJTl9QMTlBMFQgUElOX1AxOUIwVCBQSU5fUDE5QTFUIFBJTl9QMTlCMVQgUElOX1AyMEEwVCBQSU5fUDIwQjBUIFBJTl9QMjBBMVQgUElOX1AyMEIxVCBQSU5fUDIxQTBUIFBJTl9QMjFCMFQgUElOX1AyMUExVCBQSU5fUDIxQjFUIFBJTl9QMjJBMFQgUElOX1AyMkIwVCBQSU5fUDIyQTFUfSBzaWduYWxzIHtBTEUgQ0UgQ0xFIFJFIFJCIERRMCBEUTEgRFEyIERRMyBEUTQgRFE1IERRNiBEUTcgV1AgV0V9IHNpZ25hbF9wYXJ0cyB7e3t9IE5BTkRfQUxFKDA6MCkge319IHt7fSBOQU5EX0NFX04oMDowKSB7fX0ge3t9IE5BTkRfQ0xFKDA6MCkge319IHt7fSBOQU5EX1JFX04oMDowKSB7fX0ge05BTkRfUkRZX0JVU1lOKDA6MCkge30ge319IHtOQU5EX0FEUV9JKDA6MCkgTkFORF9BRFFfTygwOjApIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDE6MSkgTkFORF9BRFFfTygxOjEpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDI6MikgTkFORF9BRFFfTygyOjIpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDM6MykgTkFORF9BRFFfTygzOjMpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDQ6NCkgTkFORF9BRFFfTyg0OjQpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDU6NSkgTkFORF9BRFFfTyg1OjUpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDY6NikgTkFORF9BRFFfTyg2OjYpIE5BTkRfQURRX09FKDA6MCl9IHtOQU5EX0FEUV9JKDc6NykgTkFORF9BRFFfTyg3OjcpIE5BTkRfQURRX09FKDA6MCl9IHt7fSBOQU5EX1dQX04oMDowKSB7fX0ge3t9IE5BTkRfV0VfTigwOjApIHt9fX0gbXV4X3NlbGVjdHMgezMgMyAzIDMgMyAzIDMgMyAzIDMgMyAzIDMgMyAzfSB2YWxpZF9tb2RlcyB7e09ORkkgMS4wfX0gcGlucyB7TUlYRUQxSU8wIE1JWEVEMUlPMSBNSVhFRDFJTzIgTUlYRUQxSU8zIE1JWEVEMUlPNCBNSVhFRDFJTzUgTUlYRUQxSU82IE1JWEVEMUlPNyBNSVhFRDFJTzggTUlYRUQxSU85IE1JWEVEMUlPMTAgTUlYRUQxSU8xMSBNSVhFRDFJTzEyIE1JWEVEMUlPMTMgTUlYRUQxSU8xNH19fX0=::SkFWQV9OQU5EX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9RU1BJX0RBVEE=::UVNQSSB7c2lnbmFsc19ieV9tb2RlIHt7MiBTU30ge0NMSyBJTzAgSU8xIElPMiBJTzMgU1MwIFNTMX0gezEgU1N9IHtDTEsgSU8wIElPMSBJTzIgSU8zIFNTMH0gezQgU1N9IHtDTEsgSU8wIElPMSBJTzIgSU8zIFNTMCBTUzEgU1MyIFNTM319IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMX0ge2xvY2F0aW9ucyB7UElOX1AyNEIwVCBQSU5fUDE5QTBUIFBJTl9QMjJCMFQgUElOX1AyMkIxVCBQSU5fUDIzQTBUIFBJTl9QMjNCMFQgUElOX1AyM0ExVCBQSU5fUDIzQjFUIFBJTl9QMjRBMFR9IHNpZ25hbHMge1NTMSBTUzMgU1MyIElPMCBJTzEgSU8yIElPMyBTUzAgQ0xLfSBzaWduYWxfcGFydHMge3t7fSBRU1BJX1NTX04oMToxKSB7fX0ge3t9IFFTUElfU1NfTigzOjMpIHt9fSB7e30gUVNQSV9TU19OKDI6Mikge319IHtRU1BJX01JMCgwOjApIFFTUElfTU8wKDA6MCkgUVNQSV9NT19FTl9OKDA6MCl9IHtRU1BJX01JMSgwOjApIFFTUElfTU8xKDA6MCkgUVNQSV9NT19FTl9OKDE6MSl9IHtRU1BJX01JMigwOjApIFFTUElfTU8yKDA6MCkgUVNQSV9NT19FTl9OKDI6Mil9IHtRU1BJX01JMygwOjApIFFTUElfTU8zKDA6MCkgUVNQSV9NT19FTl9OKDM6Myl9IHt7fSBRU1BJX1NTX04oMDowKSB7fX0ge3t9IFFTUElfU0NMSygwOjApIHt9fX0gbXV4X3NlbGVjdHMgezMgMSAxIDMgMyAzIDMgMyAzfSB2YWxpZF9tb2RlcyB7ezIgU1N9IHsxIFNTfSB7NCBTU319IHBpbnMge01JWEVEMUlPMjEgTUlYRUQxSU8wIE1JWEVEMUlPMTMgTUlYRUQxSU8xNSBNSVhFRDFJTzE2IE1JWEVEMUlPMTcgTUlYRUQxSU8xOCBNSVhFRDFJTzE5IE1JWEVEMUlPMjB9fSB7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxOUEwVCBQSU5fUDIyQjBUIFBJTl9QMjJBMVQgUElOX1AyMkIxVCBQSU5fUDIzQTBUIFBJTl9QMjNCMFQgUElOX1AyM0ExVCBQSU5fUDIzQjFUIFBJTl9QMjRBMFR9IHNpZ25hbHMge1NTMyBTUzIgU1MxIElPMCBJTzEgSU8yIElPMyBTUzAgQ0xLfSBzaWduYWxfcGFydHMge3t7fSBRU1BJX1NTX04oMzozKSB7fX0ge3t9IFFTUElfU1NfTigyOjIpIHt9fSB7e30gUVNQSV9TU19OKDE6MSkge319IHtRU1BJX01JMCgwOjApIFFTUElfTU8wKDA6MCkgUVNQSV9NT19FTl9OKDA6MCl9IHtRU1BJX01JMSgwOjApIFFTUElfTU8xKDA6MCkgUVNQSV9NT19FTl9OKDE6MSl9IHtRU1BJX01JMigwOjApIFFTUElfTU8yKDA6MCkgUVNQSV9NT19FTl9OKDI6Mil9IHtRU1BJX01JMygwOjApIFFTUElfTU8zKDA6MCkgUVNQSV9NT19FTl9OKDM6Myl9IHt7fSBRU1BJX1NTX04oMDowKSB7fX0ge3t9IFFTUElfU0NMSygwOjApIHt9fX0gbXV4X3NlbGVjdHMgezEgMSAyIDMgMyAzIDMgMyAzfSB2YWxpZF9tb2RlcyB7ezIgU1N9IHsxIFNTfSB7NCBTU319IHBpbnMge01JWEVEMUlPMCBNSVhFRDFJTzEzIE1JWEVEMUlPMTQgTUlYRUQxSU8xNSBNSVhFRDFJTzE2IE1JWEVEMUlPMTcgTUlYRUQxSU8xOCBNSVhFRDFJTzE5IE1JWEVEMUlPMjB9fX19::SkFWQV9RU1BJX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9TRElPX0RBVEE=::U0RJTyB7c2lnbmFsc19ieV9tb2RlIHt7MS1iaXQgRGF0YX0ge0NNRCBDTEsgRDB9IHs0LWJpdCBEYXRhfSB7Q01EIENMSyBEMCBEMSBEMiBEM30gezgtYml0IERhdGEgd2l0aCBQV1JFTn0ge0NNRCBDTEsgRDAgRDEgRDIgRDMgRDQgRDUgRDYgRDcgUFdSRU59IHs4LWJpdCBEYXRhfSB7Q01EIENMSyBEMCBEMSBEMiBEMyBENCBENSBENiBEN30gezEtYml0IERhdGEgd2l0aCBQV1JFTn0ge0NNRCBDTEsgRDAgUFdSRU59IHs0LWJpdCBEYXRhIHdpdGggUFdSRU59IHtDTUQgQ0xLIEQwIEQxIEQyIEQzIFBXUkVOfX0gcGluX3NldHMge3tIUFMgSS9PIFNldCAwfSB7bG9jYXRpb25zIHtQSU5fUDI1QTBUIFBJTl9QMjVCMFQgUElOX1AyNUExVCBQSU5fUDI1QjFUIFBJTl9QMjZBMFQgUElOX1AyNkIwVCBQSU5fUDI2QTFUIFBJTl9QMjZCMVQgUElOX1AyN0EwVCBQSU5fUDI3QjBUIFBJTl9QMjdBMVQgUElOX1AyN0IxVH0gc2lnbmFscyB7Q01EIFBXUkVOIEQwIEQxIEQ0IEQ1IEQ2IEQ3IEhQU19HUElPNDQgQ0xLIEQyIEQzfSBzaWduYWxfcGFydHMge3tTRE1NQ19DTURfSSgwOjApIFNETU1DX0NNRF9PKDA6MCkgU0RNTUNfQ01EX09FKDA6MCl9IHt7fSBTRE1NQ19QV1JfRU4oMDowKSB7fX0ge1NETU1DX0RBVEFfSSgwOjApIFNETU1DX0RBVEFfTygwOjApIFNETU1DX0RBVEFfT0UoMDowKX0ge1NETU1DX0RBVEFfSSgxOjEpIFNETU1DX0RBVEFfTygxOjEpIFNETU1DX0RBVEFfT0UoMToxKX0ge1NETU1DX0RBVEFfSSg0OjQpIFNETU1DX0RBVEFfTyg0OjQpIFNETU1DX0RBVEFfT0UoNDo0KX0ge1NETU1DX0RBVEFfSSg1OjUpIFNETU1DX0RBVEFfTyg1OjUpIFNETU1DX0RBVEFfT0UoNTo1KX0ge1NETU1DX0RBVEFfSSg2OjYpIFNETU1DX0RBVEFfTyg2OjYpIFNETU1DX0RBVEFfT0UoNjo2KX0ge1NETU1DX0RBVEFfSSg3OjcpIFNETU1DX0RBVEFfTyg3OjcpIFNETU1DX0RBVEFfT0UoNzo3KX0gSFBTX0dQSU80NCB7e30gU0RNTUNfQ0NMSygwOjApIHt9fSB7U0RNTUNfREFUQV9JKDI6MikgU0RNTUNfREFUQV9PKDI6MikgU0RNTUNfREFUQV9PRSgyOjIpfSB7U0RNTUNfREFUQV9JKDM6MykgU0RNTUNfREFUQV9PKDM6MykgU0RNTUNfREFUQV9PRSgzOjMpfX0gbXV4X3NlbGVjdHMgezMgMyAzIDMgMyAzIDMgMyAzIDMgMyAzfSB2YWxpZF9tb2RlcyB7ezEtYml0IERhdGF9IHs0LWJpdCBEYXRhfSB7OC1iaXQgRGF0YSB3aXRoIFBXUkVOfSB7OC1iaXQgRGF0YX0gezEtYml0IERhdGEgd2l0aCBQV1JFTn0gezQtYml0IERhdGEgd2l0aCBQV1JFTn19IHBpbnMge0ZMQVNISU8wIEZMQVNISU8xIEZMQVNISU8yIEZMQVNISU8zIEZMQVNISU80IEZMQVNISU81IEZMQVNISU82IEZMQVNISU83IEZMQVNISU84IEZMQVNISU85IEZMQVNISU8xMCBGTEFTSElPMTF9fX19::SkFWQV9TRElPX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9VU0IwX0RBVEE=::VVNCMCB7c2lnbmFsc19ieV9tb2RlIHtTRFIge0QwIEQxIEQyIEQzIEQ0IEQ1IEQ2IEQ3IENMSyBTVFAgRElSIE5YVH0ge1NEUiB3aXRob3V0IGV4dGVybmFsIGNsb2NrfSB7RDAgRDEgRDIgRDMgRDQgRDUgRDYgRDcgU1RQIERJUiBOWFR9fSBwaW5fc2V0cyB7e0hQUyBJL08gU2V0IDB9IHtsb2NhdGlvbnMge1BJTl9QMjVBMFQgUElOX1AyNUIwVCBQSU5fUDI1QTFUIFBJTl9QMjVCMVQgUElOX1AyNkEwVCBQSU5fUDI2QjBUIFBJTl9QMjZBMVQgUElOX1AyNkIxVCBQSU5fUDI3QTBUIFBJTl9QMjdCMFQgUElOX1AyN0ExVCBQSU5fUDI3QjFUfSBzaWduYWxzIHtEMCBEMSBEMiBEMyBENCBENSBENiBENyBDTEsgU1RQIERJUiBOWFR9IHNpZ25hbF9wYXJ0cyB7e1VTQl9VTFBJX0RBVEFfSSgwOjApIFVTQl9VTFBJX0RBVEFfTygwOjApIFVTQl9VTFBJX0RBVEFfT0UoMDowKX0ge1VTQl9VTFBJX0RBVEFfSSgxOjEpIFVTQl9VTFBJX0RBVEFfTygxOjEpIFVTQl9VTFBJX0RBVEFfT0UoMToxKX0ge1VTQl9VTFBJX0RBVEFfSSgyOjIpIFVTQl9VTFBJX0RBVEFfTygyOjIpIFVTQl9VTFBJX0RBVEFfT0UoMjoyKX0ge1VTQl9VTFBJX0RBVEFfSSgzOjMpIFVTQl9VTFBJX0RBVEFfTygzOjMpIFVTQl9VTFBJX0RBVEFfT0UoMzozKX0ge1VTQl9VTFBJX0RBVEFfSSg0OjQpIFVTQl9VTFBJX0RBVEFfTyg0OjQpIFVTQl9VTFBJX0RBVEFfT0UoNDo0KX0ge1VTQl9VTFBJX0RBVEFfSSg1OjUpIFVTQl9VTFBJX0RBVEFfTyg1OjUpIFVTQl9VTFBJX0RBVEFfT0UoNTo1KX0ge1VTQl9VTFBJX0RBVEFfSSg2OjYpIFVTQl9VTFBJX0RBVEFfTyg2OjYpIFVTQl9VTFBJX0RBVEFfT0UoNjo2KX0ge1VTQl9VTFBJX0RBVEFfSSg3OjcpIFVTQl9VTFBJX0RBVEFfTyg3OjcpIFVTQl9VTFBJX0RBVEFfT0UoNzo3KX0ge1VTQl9VTFBJX0NMSygwOjApIHt9IHt9fSB7e30gVVNCX1VMUElfU1RQKDA6MCkge319IHtVU0JfVUxQSV9ESVIoMDowKSB7fSB7fX0ge1VTQl9VTFBJX05YVCgwOjApIHt9IHt9fX0gbXV4X3NlbGVjdHMgezIgMiAyIDIgMiAyIDIgMiAyIDIgMiAyfSB2YWxpZF9tb2RlcyB7U0RSIHtTRFIgd2l0aG91dCBleHRlcm5hbCBjbG9ja319IHBpbnMge0ZMQVNISU8wIEZMQVNISU8xIEZMQVNISU8yIEZMQVNISU8zIEZMQVNISU80IEZMQVNISU81IEZMQVNISU82IEZMQVNISU83IEZMQVNISU84IEZMQVNISU85IEZMQVNISU8xMCBGTEFTSElPMTF9fX19::SkFWQV9VU0IwX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9VU0IxX0RBVEE=::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::SkFWQV9VU0IxX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9TUElNMF9EQVRB::U1BJTTAge3NpZ25hbHNfYnlfbW9kZSB7e0R1YWwgU2xhdmUgU2VsZWN0c30ge0NMSyBNT1NJIE1JU08gU1MwIFNTMX0ge1NpbmdsZSBTbGF2ZSBTZWxlY3R9IHtDTEsgTU9TSSBNSVNPIFNTMH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxNkIwVCBQSU5fUDE2QTFUIFBJTl9QMTZCMVQgUElOX1AxN0EwVCBQSU5fUDE3QjBUfSBzaWduYWxzIHtDTEsgTU9TSSBNSVNPIFNTMCBTUzF9IHNpZ25hbF9wYXJ0cyB7e3t9IFNQSV9NQVNURVJfU0NMSygwOjApIHt9fSB7e30gU1BJX01BU1RFUl9UWEQoMDowKSBTUElfTUFTVEVSX1NTSV9PRV9OKDA6MCl9IHtTUElfTUFTVEVSX1JYRCgwOjApIHt9IHt9fSB7e30gU1BJX01BU1RFUl9TU18wX04oMDowKSB7fX0ge3t9IFNQSV9NQVNURVJfU1NfMV9OKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MyAzIDMgMyAxfSB2YWxpZF9tb2RlcyB7e0R1YWwgU2xhdmUgU2VsZWN0c30ge1NpbmdsZSBTbGF2ZSBTZWxlY3R9fSBwaW5zIHtHRU5FUkFMSU85IEdFTkVSQUxJTzEwIEdFTkVSQUxJTzExIEdFTkVSQUxJTzEyIEdFTkVSQUxJTzEzfX19fQ==::SkFWQV9TUElNMF9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9TUElNMV9EQVRB::U1BJTTEge3NpZ25hbHNfYnlfbW9kZSB7e0R1YWwgU2xhdmUgU2VsZWN0c30ge0NMSyBNT1NJIE1JU08gU1MwIFNTMX0ge1NpbmdsZSBTbGF2ZSBTZWxlY3R9IHtDTEsgTU9TSSBNSVNPIFNTMH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxN0ExVCBQSU5fUDE3QjFUIFBJTl9QMThBMFQgUElOX1AxOEIwVCBQSU5fUDE4QTFUfSBzaWduYWxzIHtTUzEgQ0xLIE1PU0kgTUlTTyBTUzB9IHNpZ25hbF9wYXJ0cyB7e3t9IFNQSV9NQVNURVJfU1NfMV9OKDA6MCkge319IHt7fSBTUElfTUFTVEVSX1NDTEsoMDowKSB7fX0ge3t9IFNQSV9NQVNURVJfVFhEKDA6MCkgU1BJX01BU1RFUl9TU0lfT0VfTigwOjApfSB7U1BJX01BU1RFUl9SWEQoMDowKSB7fSB7fX0ge3t9IFNQSV9NQVNURVJfU1NfMF9OKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MSAxIDEgMSAxfSB2YWxpZF9tb2RlcyB7e0R1YWwgU2xhdmUgU2VsZWN0c30ge1NpbmdsZSBTbGF2ZSBTZWxlY3R9fSBwaW5zIHtHRU5FUkFMSU8xNCBHRU5FUkFMSU8xNSBHRU5FUkFMSU8xNiBHRU5FUkFMSU8xNyBHRU5FUkFMSU8xOH19fX0=::SkFWQV9TUElNMV9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9TUElTMF9EQVRB::U1BJUzAge3NpZ25hbHNfYnlfbW9kZSB7U1BJIHtDTEsgTU9TSSBNSVNPIFNTMH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxNEIwVCBQSU5fUDE0QTFUIFBJTl9QMTRCMVQgUElOX1AxNUEwVH0gc2lnbmFscyB7Q0xLIE1PU0kgTUlTTyBTUzB9IHNpZ25hbF9wYXJ0cyB7e1NQSV9TTEFWRV9TQ0xLKDA6MCkge30ge319IHtTUElfU0xBVkVfUlhEKDA6MCkge30ge319IHt7fSBTUElfU0xBVkVfVFhEKDA6MCkgU1BJX1NMQVZFX1NTSV9PRV9OKDA6MCl9IHtTUElfU0xBVkVfU1NfTigwOjApIHt9IHt9fX0gbXV4X3NlbGVjdHMgezIgMiAyIDJ9IHZhbGlkX21vZGVzIFNQSSBwaW5zIHtHRU5FUkFMSU8xIEdFTkVSQUxJTzIgR0VORVJBTElPMyBHRU5FUkFMSU80fX19fQ==::SkFWQV9TUElTMF9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9TUElTMV9EQVRB::U1BJUzEge3NpZ25hbHNfYnlfbW9kZSB7U1BJIHtDTEsgTU9TSSBNSVNPIFNTMH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxNUIwVCBQSU5fUDE1QTFUIFBJTl9QMTVCMVQgUElOX1AxNkEwVH0gc2lnbmFscyB7Q0xLIE1PU0kgU1MwIE1JU099IHNpZ25hbF9wYXJ0cyB7e1NQSV9TTEFWRV9TQ0xLKDA6MCkge30ge319IHtTUElfU0xBVkVfUlhEKDA6MCkge30ge319IHtTUElfU0xBVkVfU1NfTigwOjApIHt9IHt9fSB7e30gU1BJX1NMQVZFX1RYRCgwOjApIFNQSV9TTEFWRV9TU0lfT0VfTigwOjApfX0gbXV4X3NlbGVjdHMgezIgMiAyIDJ9IHZhbGlkX21vZGVzIFNQSSBwaW5zIHtHRU5FUkFMSU81IEdFTkVSQUxJTzYgR0VORVJBTElPNyBHRU5FUkFMSU84fX19fQ==::SkFWQV9TUElTMV9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9VQVJUMF9EQVRB::VUFSVDAge3NpZ25hbHNfYnlfbW9kZSB7e0Zsb3cgQ29udHJvbH0ge1JYIFRYIENUUyBSVFN9IHtObyBGbG93IENvbnRyb2x9IHtSWCBUWH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMn0ge2xvY2F0aW9ucyB7UElOX1AxOEIwVCBQSU5fUDE4QTFUIFBJTl9QMTZCMFQgUElOX1AxNkExVH0gc2lnbmFscyB7UlggVFggQ1RTIFJUU30gc2lnbmFsX3BhcnRzIHt7VUFSVF9SWEQoMDowKSB7fSB7fX0ge3t9IFVBUlRfVFhEKDA6MCkge319IHtVQVJUX0NUU19OKDA6MCkge30ge319IHt7fSBVQVJUX1JUU19OKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MiAyIDEgMX0gdmFsaWRfbW9kZXMge3tGbG93IENvbnRyb2x9IHtObyBGbG93IENvbnRyb2x9fSBwaW5zIHtHRU5FUkFMSU8xNyBHRU5FUkFMSU8xOCBHRU5FUkFMSU85IEdFTkVSQUxJTzEwfX0ge0hQUyBJL08gU2V0IDF9IHtsb2NhdGlvbnMge1BJTl9QMTdCMFQgUElOX1AxN0ExVCBQSU5fUDE2QjBUIFBJTl9QMTZBMVR9IHNpZ25hbHMge1JYIFRYIENUUyBSVFN9IHNpZ25hbF9wYXJ0cyB7e1VBUlRfUlhEKDA6MCkge30ge319IHt7fSBVQVJUX1RYRCgwOjApIHt9fSB7VUFSVF9DVFNfTigwOjApIHt9IHt9fSB7e30gVUFSVF9SVFNfTigwOjApIHt9fX0gbXV4X3NlbGVjdHMgezMgMyAxIDF9IHZhbGlkX21vZGVzIHt7RmxvdyBDb250cm9sfSB7Tm8gRmxvdyBDb250cm9sfX0gcGlucyB7R0VORVJBTElPMTMgR0VORVJBTElPMTQgR0VORVJBTElPOSBHRU5FUkFMSU8xMH19IHtIUFMgSS9PIFNldCAwfSB7bG9jYXRpb25zIHtQSU5fUDE0QjBUIFBJTl9QMTRBMVQgUElOX1AxNkIwVCBQSU5fUDE2QTFUfSBzaWduYWxzIHtSWCBUWCBDVFMgUlRTfSBzaWduYWxfcGFydHMge3tVQVJUX1JYRCgwOjApIHt9IHt9fSB7e30gVUFSVF9UWEQoMDowKSB7fX0ge1VBUlRfQ1RTX04oMDowKSB7fSB7fX0ge3t9IFVBUlRfUlRTX04oMDowKSB7fX19IG11eF9zZWxlY3RzIHsxIDEgMSAxfSB2YWxpZF9tb2RlcyB7e0Zsb3cgQ29udHJvbH0ge05vIEZsb3cgQ29udHJvbH19IHBpbnMge0dFTkVSQUxJTzEgR0VORVJBTElPMiBHRU5FUkFMSU85IEdFTkVSQUxJTzEwfX19fQ==::SkFWQV9VQVJUMF9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9VQVJUMV9EQVRB::VUFSVDEge3NpZ25hbHNfYnlfbW9kZSB7e0Zsb3cgQ29udHJvbH0ge1JYIFRYIENUUyBSVFN9IHtObyBGbG93IENvbnRyb2x9IHtSWCBUWH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxNkIxVCBQSU5fUDE3QTBUIFBJTl9QMTdCMVQgUElOX1AxOEEwVH0gc2lnbmFscyB7Q1RTIFJUUyBSWCBUWH0gc2lnbmFsX3BhcnRzIHt7VUFSVF9DVFNfTigwOjApIHt9IHt9fSB7e30gVUFSVF9SVFNfTigwOjApIHt9fSB7VUFSVF9SWEQoMDowKSB7fSB7fX0ge3t9IFVBUlRfVFhEKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MSAxIDIgMn0gdmFsaWRfbW9kZXMge3tGbG93IENvbnRyb2x9IHtObyBGbG93IENvbnRyb2x9fSBwaW5zIHtHRU5FUkFMSU8xMSBHRU5FUkFMSU8xMiBHRU5FUkFMSU8xNSBHRU5FUkFMSU8xNn19fX0=::SkFWQV9VQVJUMV9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9JMkMwX0RBVEE=::STJDMCB7c2lnbmFsc19ieV9tb2RlIHtJMkMge1NEQSBTQ0x9fSBwaW5fc2V0cyB7e0hQUyBJL08gU2V0IDF9IHtsb2NhdGlvbnMge1BJTl9QMTdCMVQgUElOX1AxOEEwVH0gc2lnbmFscyB7U0RBIFNDTH0gc2lnbmFsX3BhcnRzIHt7STJDX0RBVEEoMDowKSB7fSBJMkNfREFUQV9PRSgwOjApfSB7STJDX0NMSygwOjApIHt9IEkyQ19DTEtfT0UoMDowKX19IG11eF9zZWxlY3RzIHszIDN9IHZhbGlkX21vZGVzIEkyQyBwaW5zIHtHRU5FUkFMSU8xNSBHRU5FUkFMSU8xNn19IHtIUFMgSS9PIFNldCAwfSB7bG9jYXRpb25zIHtQSU5fUDE1QjFUIFBJTl9QMTZBMFR9IHNpZ25hbHMge1NEQSBTQ0x9IHNpZ25hbF9wYXJ0cyB7e0kyQ19EQVRBKDA6MCkge30gSTJDX0RBVEFfT0UoMDowKX0ge0kyQ19DTEsoMDowKSB7fSBJMkNfQ0xLX09FKDA6MCl9fSBtdXhfc2VsZWN0cyB7MSAxfSB2YWxpZF9tb2RlcyBJMkMgcGlucyB7R0VORVJBTElPNyBHRU5FUkFMSU84fX19fQ==::SkFWQV9JMkMwX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9JMkMxX0RBVEE=::STJDMSB7c2lnbmFsc19ieV9tb2RlIHtJMkMge1NEQSBTQ0x9fSBwaW5fc2V0cyB7e0hQUyBJL08gU2V0IDF9IHtsb2NhdGlvbnMge1BJTl9QMTZCMFQgUElOX1AxNkExVH0gc2lnbmFscyB7U0RBIFNDTH0gc2lnbmFsX3BhcnRzIHt7STJDX0RBVEEoMDowKSB7fSBJMkNfREFUQV9PRSgwOjApfSB7STJDX0NMSygwOjApIHt9IEkyQ19DTEtfT0UoMDowKX19IG11eF9zZWxlY3RzIHsyIDJ9IHZhbGlkX21vZGVzIEkyQyBwaW5zIHtHRU5FUkFMSU85IEdFTkVSQUxJTzEwfX0ge0hQUyBJL08gU2V0IDB9IHtsb2NhdGlvbnMge1BJTl9QMTRCMVQgUElOX1AxNUEwVH0gc2lnbmFscyB7U0RBIFNDTH0gc2lnbmFsX3BhcnRzIHt7STJDX0RBVEEoMDowKSB7fSBJMkNfREFUQV9PRSgwOjApfSB7STJDX0NMSygwOjApIHt9IEkyQ19DTEtfT0UoMDowKX19IG11eF9zZWxlY3RzIHsxIDF9IHZhbGlkX21vZGVzIEkyQyBwaW5zIHtHRU5FUkFMSU8zIEdFTkVSQUxJTzR9fX19::SkFWQV9JMkMxX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9JMkMyX0RBVEE=::STJDMiB7c2lnbmFsc19ieV9tb2RlIHtJMkMge1NEQSBTQ0x9IHtVc2VkIGJ5IEVNQUMwfSB7U0RBIFNDTH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AyOUExVCBQSU5fUDI5QjFUfSBzaWduYWxzIHtTREEgU0NMfSBzaWduYWxfcGFydHMge3tJMkNfREFUQSgwOjApIHt9IEkyQ19EQVRBX09FKDA6MCl9IHtJMkNfQ0xLKDA6MCkge30gSTJDX0NMS19PRSgwOjApfX0gdmFsaWRfbW9kZXMge0kyQyB7VXNlZCBieSBFTUFDMH19IG11eF9zZWxlY3RzIHsxIDF9IHBpbnMge0VNQUNJTzYgRU1BQ0lPN319fX0=::SkFWQV9JMkMyX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9JMkMzX0RBVEE=::STJDMyB7c2lnbmFsc19ieV9tb2RlIHtJMkMge1NEQSBTQ0x9IHtVc2VkIGJ5IEVNQUMxfSB7U0RBIFNDTH19IHBpbl9zZXRzIHt7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AyMEExVCBQSU5fUDIwQjFUfSBzaWduYWxzIHtTREEgU0NMfSBzaWduYWxfcGFydHMge3tJMkNfREFUQSgwOjApIHt9IEkyQ19EQVRBX09FKDA6MCl9IHtJMkNfQ0xLKDA6MCkge30gSTJDX0NMS19PRSgwOjApfX0gdmFsaWRfbW9kZXMge0kyQyB7VXNlZCBieSBFTUFDMX19IG11eF9zZWxlY3RzIHsxIDF9IHBpbnMge01JWEVEMUlPNiBNSVhFRDFJTzd9fX19::SkFWQV9JMkMzX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9DQU4wX0RBVEE=::Q0FOMCB7c2lnbmFsc19ieV9tb2RlIHtDQU4ge1JYIFRYfX0gcGluX3NldHMge3tIUFMgSS9PIFNldCAxfSB7bG9jYXRpb25zIHtQSU5fUDE4QjBUIFBJTl9QMThBMVR9IHNpZ25hbHMge1JYIFRYfSBzaWduYWxfcGFydHMge3tDQU5fUlhEKDA6MCkge30ge319IHt7fSBDQU5fVFhEKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MyAzfSB2YWxpZF9tb2RlcyBDQU4gcGlucyB7R0VORVJBTElPMTcgR0VORVJBTElPMTh9fSB7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxN0IwVCBQSU5fUDE3QTFUfSBzaWduYWxzIHtSWCBUWH0gc2lnbmFsX3BhcnRzIHt7Q0FOX1JYRCgwOjApIHt9IHt9fSB7e30gQ0FOX1RYRCgwOjApIHt9fX0gbXV4X3NlbGVjdHMgezIgMn0gdmFsaWRfbW9kZXMgQ0FOIHBpbnMge0dFTkVSQUxJTzEzIEdFTkVSQUxJTzE0fX19fQ==::SkFWQV9DQU4wX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9DQU4xX0RBVEE=::Q0FOMSB7c2lnbmFsc19ieV9tb2RlIHtDQU4ge1JYIFRYfX0gcGluX3NldHMge3tIUFMgSS9PIFNldCAxfSB7bG9jYXRpb25zIHtQSU5fUDE2QjFUIFBJTl9QMTdBMFR9IHNpZ25hbHMge1JYIFRYfSBzaWduYWxfcGFydHMge3tDQU5fUlhEKDA6MCkge30ge319IHt7fSBDQU5fVFhEKDA6MCkge319fSBtdXhfc2VsZWN0cyB7MiAyfSB2YWxpZF9tb2RlcyBDQU4gcGlucyB7R0VORVJBTElPMTEgR0VORVJBTElPMTJ9fSB7SFBTIEkvTyBTZXQgMH0ge2xvY2F0aW9ucyB7UElOX1AxNUIwVCBQSU5fUDE1QTFUfSBzaWduYWxzIHtSWCBUWH0gc2lnbmFsX3BhcnRzIHt7Q0FOX1JYRCgwOjApIHt9IHt9fSB7e30gQ0FOX1RYRCgwOjApIHt9fX0gbXV4X3NlbGVjdHMgezEgMX0gdmFsaWRfbW9kZXMgQ0FOIHBpbnMge0dFTkVSQUxJTzUgR0VORVJBTElPNn19fX0=::SkFWQV9DQU4xX0RBVEE="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SkFWQV9UUkFDRV9EQVRB::VFJBQ0Uge3NpZ25hbHNfYnlfbW9kZSB7SFBTeDQge0NMSyBEMCBEMSBEMiBEM30gSFBTIHtDTEsgRDAgRDEgRDIgRDMgRDQgRDUgRDYgRDd9fSBwaW5fc2V0cyB7e0hQUyBJL08gU2V0IDB9IHtsb2NhdGlvbnMge1BJTl9QMTRBMFQgUElOX1AxNEIwVCBQSU5fUDE0QTFUIFBJTl9QMTRCMVQgUElOX1AxNUEwVCBQSU5fUDE1QjBUIFBJTl9QMTVBMVQgUElOX1AxNUIxVCBQSU5fUDE2QTBUfSBzaWduYWxzIHtDTEsgRDAgRDEgRDIgRDMgRDQgRDUgRDYgRDd9IHNpZ25hbF9wYXJ0cyB7e3t9IFRQSVVfVFJBQ0VfQ0xLKDA6MCkge319IHt7fSBUUElVX1RSQUNFX0RBVEEoMDowKSB7fX0ge3t9IFRQSVVfVFJBQ0VfREFUQSgxOjEpIHt9fSB7e30gVFBJVV9UUkFDRV9EQVRBKDI6Mikge319IHt7fSBUUElVX1RSQUNFX0RBVEEoMzozKSB7fX0ge3t9IFRQSVVfVFJBQ0VfREFUQSg0OjQpIHt9fSB7e30gVFBJVV9UUkFDRV9EQVRBKDU6NSkge319IHt7fSBUUElVX1RSQUNFX0RBVEEoNjo2KSB7fX0ge3t9IFRQSVVfVFJBQ0VfREFUQSg3OjcpIHt9fX0gbXV4X3NlbGVjdHMgezMgMyAzIDMgMyAzIDMgMyAzfSB2YWxpZF9tb2RlcyB7SFBTeDQgSFBTfSBwaW5zIHtHRU5FUkFMSU8wIEdFTkVSQUxJTzEgR0VORVJBTElPMiBHRU5FUkFMSU8zIEdFTkVSQUxJTzQgR0VORVJBTElPNSBHRU5FUkFMSU82IEdFTkVSQUxJTzcgR0VORVJBTElPOH19fX0=::SkFWQV9UUkFDRV9EQVRB"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX0FYSV9DTE9DS19GUkVR::MTAw::ZjJoX2F4aV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SDJGX0FYSV9DTE9DS19GUkVR::NTAwMDAwMDA=::aDJmX2F4aV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SDJGX0xXX0FYSV9DTE9DS19GUkVR::MTAw::aDJmX2x3X2F4aV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNMF9DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtMF9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNMV9DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtMV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNMl9DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtMl9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNM19DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtM19jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNNF9DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtNF9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RjJIX1NEUkFNNV9DTE9DS19GUkVR::MTAw::ZjJoX3NkcmFtNV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SDJGX0NUSV9DTE9DS19GUkVR::MTAw::aDJmX2N0aV9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SDJGX1RQSVVfQ0xPQ0tfSU5fRlJFUQ==::MTAw::aDJmX3RwaXVfY2xvY2tfaW4gY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SDJGX0RFQlVHX0FQQl9DTE9DS19GUkVR::MTAw::aDJmX2RlYnVnX2FwYl9jbG9jayBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfRU1BQ19QVFBfUkVGX0NMT0NL::MTAw::RU1BQyBlbWFjX3B0cF9yZWZfY2xvY2sgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfRU1BQzBfUlhfQ0xLX0lO::MTAw::RU1BQzAgZW1hYzBfcnhfY2xrX2luIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfRU1BQzBfVFhfQ0xLX0lO::MTAw::RU1BQzAgZW1hYzBfdHhfY2xrX2luIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfRU1BQzFfUlhfQ0xLX0lO::MTAw::RU1BQzEgZW1hYzFfcnhfY2xrX2luIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfRU1BQzFfVFhfQ0xLX0lO::MTAw::RU1BQzEgZW1hYzFfdHhfY2xrX2luIGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX09VVFBVVF9DTE9DS19GUkVRX1NESU9fQ0NMSw==::MTAw::U0RJTyBzZGlvX2NjbGsgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfVVNCMF9DTEtfSU4=::MTAw::VVNCMCB1c2IwX2Nsa19pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfVVNCMV9DTEtfSU4=::MTAw::VVNCMSB1c2IxX2Nsa19pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfU1BJUzBfU0NMS19JTg==::MTAw::U1BJUzAgc3BpczBfc2Nsa19pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfU1BJUzFfU0NMS19JTg==::MTAw::U1BJUzEgc3BpczFfc2Nsa19pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfSTJDMF9TQ0xfSU4=::MTAw::STJDMCBpMmMwX3NjbF9pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfSTJDMV9TQ0xfSU4=::MTAw::STJDMSBpMmMxX3NjbF9pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfSTJDMl9TQ0xfSU4=::MTAw::STJDMiBpMmMyX3NjbF9pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RlBHQV9QRVJJUEhFUkFMX0lOUFVUX0NMT0NLX0ZSRVFfSTJDM19TQ0xfSU4=::MTAw::STJDMyBpMmMzX3NjbF9pbiBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aHBzX2RldmljZV9mYW1pbHk=::Q3ljbG9uZSBW::aHBzX2RldmljZV9mYW1pbHk="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX25hbWU=::NUNTRU1BNFUyM0M2::ZGV2aWNlX25hbWU="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9hbGxfcGVyaXBoZXJhbF9mcGdhX2ludGVyZmFjZXM=::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9hbGxfcGVyaXBoZXJhbF9mcGdhX2ludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9lbWFjMF9wZXJpcGhlcmFsX2ZwZ2FfaW50ZXJmYWNl::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9lbWFjMF9wZXJpcGhlcmFsX2ZwZ2FfaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV90ZXN0X2ludGVyZmFjZQ==::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV90ZXN0X2ludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2Zhc3RfZjJzZHJhbV9zaW1fbW9kZWw=::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2Zhc3RfZjJzZHJhbV9zaW1fbW9kZWw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX3N1cHByZXNzX3NkcmFtX3N5bnRo::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX3N1cHByZXNzX3NkcmFtX3N5bnRo"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9sb3dfc3BlZWRfc2VyaWFsX2ZwZ2FfaW50ZXJmYWNlcw==::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9sb3dfc3BlZWRfc2VyaWFsX2ZwZ2FfaW50ZXJmYWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9ic2VsX2NzZWw=::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2VuYWJsZV9ic2VsX2NzZWw="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2lwX2Yyc2RyYW1fYm9uZGluZ19vdXQ=::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2lwX2Yyc2RyYW1fYm9uZGluZ19vdXQ="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cXVhcnR1c19pbmlfaHBzX2VtaWZfcGxs::ZmFsc2U=::cXVhcnR1c19pbmlfaHBzX2VtaWZfcGxs"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "dGVzdF9pZmFjZV9kZWZpbml0aW9u::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::dGVzdF9pZmFjZV9kZWZpbml0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REJfcGVyaXBoX2lmYWNlcw==::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::REJfcGVyaXBoX2lmYWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REJfaWZhY2VfcG9ydHM=::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::REJfaWZhY2VfcG9ydHM="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REJfcG9ydF9waW5z::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::REJfcG9ydF9waW5z"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGluX211eGluZw==::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::cGluX211eGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cGluX211eGluZ19jaGVjaw==::Q3ljbG9uZSBWKzVDU0VNQTRVMjNDNg==::cGluX211eGluZ19jaGVjaw=="
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9faHBzXzBfaHBzX2lv"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2hwc19pbw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Ym9yZGVyX2Rlc2NyaXB0aW9u::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::Ym9yZGVyX2Rlc2NyaXB0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aHBzX3BhcmFtZXRlcl9tYXA=::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::aHBzX3BhcmFtZXRlcl9tYXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JM::Mg==::TVIwX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1dS::NA==::TVIwX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MQ==::TVIxX09EUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MQ==::TVIxX1JUVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MQ==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JM::Mg==::TVIxX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JU::MA==::TVIxX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dD::MA==::TVIxX1dD"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dS::MQ==::TVIxX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JMV0w=::MQ==::TVIyX1JMV0w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX0RT::Mg==::TVIzX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RT::MA==::TVIxX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1BBU1I=::MA==::TVIxX1BBU1I="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MA==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MA==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MA==::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTA=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MA==::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MA==::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MA==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MA==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MA==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MA==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MA==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MA==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MA==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::LTE=::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::MA==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MA==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MA==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::Mi41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MjUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MA==::TUVNX1RSQw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MA==::TUVNX1RSQVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::MA==::TUVNX1RSQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::MA==::TUVNX1RSUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MA==::TUVNX1RSRUZJ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MA==::TUVNX1RSRkM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MA==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::MA==::TUVNX1RXUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MA==::TUVNX1RGQVc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::MA==::TUVNX1RSUkQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::MA==::TUVNX1RSVFA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MA==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::MA==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0ZPUk1BVA==::RElTQ1JFVEU=::TWVtb3J5IGZvcm1hdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0L2RlcHRoIGV4cGFuc2lvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NDAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTI=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::OA==::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUX0RBVEFfV0lEVEg=::MzI=::RGF0YSB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTc1::dElTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MjUw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::NTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::MTI1::dERIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTIw::dERRU1E="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSFM=::MzAw::dFFIUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::NDAw::dERRU0NL"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNI::MC4zNQ==::dERRU0g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC4zOA==::dFFTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NDk5::dElOSVQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::NDk5::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::Mg==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::Mw==::dE1SRCAodE1SVyk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::NDAuMA==::dFJBUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTUuMA==::dFJDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTUuMA==::dFJQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny4w::dFJFRkkgKHRSRUZJYWIp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::NzUuMA==::dFJGQyAodFJGQ2FiKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Mg==::dFdUUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzcuNQ==::dEZBVw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MA==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::MA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::Mg==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::MA==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::MA==::Q0ZHX1RZUEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::MA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::MA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MA==::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MA==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MA==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MA==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MA==::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QRF9DWUNMRVM=::MA==::QXV0byBQb3dlci1Eb3duIEN5Y2xlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19BVVRPX0NPUlJFQ1RJT05fRU5BQkxFRA==::ZmFsc2U=::RW5hYmxlIEF1dG8gRXJyb3IgQ29ycmVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19OVU0=::NA==::TnVtYmVyIG9mIGJhbmtzIHRvIHRyYWNr"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Ng==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::ZmFsc2U=::UExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::ZmFsc2U=::RExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MA==::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::Ng==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MC4w::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTI1LjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MC4w::UkVGX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MC4w::UkVGX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::MA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::MA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MC4w::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::MA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::MA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1BMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIFBMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MA==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::MA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MA==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MA==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::MA==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::MA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::MA==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::MC4w::TUVNX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MC4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::LTE=::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::LTE=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::LTE=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::LTE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::LTE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::LTE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::LTE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::LTE=::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::ZmFsc2U=::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::ZmFsc2U=::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::MA==::TUVNX1RfV0w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::MA==::TUVNX1RfUkw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBhZGRyZXNzIGFuZCBjb21tYW5kIGNsb2NrIHBoYXNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNF::MC4w::QWRkaXRpb25hbCBDSy9DSyMgcGhhc2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0xPQ0FUSU9O::VG9wX0JvdHRvbQ==::UmVjb25maWd1cmFibGUgUExMIExvY2F0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4wNQ==::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfRERS::MA==::RFFfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MA==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::MA==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MA==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MA==::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::MA==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MA==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MA==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MA==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::MA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MA==::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MA==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::MA==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::MA==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::MA==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::MA==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::MA==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MA==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Nw==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::dHJ1ZQ==::SEhQX0hQUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::RERSMw==::U0RSQU0gUHJvdG9jb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0RMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIERMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09DVF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIE9DVCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_instance_assignment -name hps_partition on -entity ulight_fifo_hps_0_hps_io_border -library ulight_fifo
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9faHBzXzBfaHBzX2lvX2JvcmRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2ludGVyZmFjZV9nZW5lcmF0b3I="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JM::Mg==::TVIwX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1dS::NA==::TVIwX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MQ==::TVIxX09EUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MQ==::TVIxX1JUVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MQ==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JM::Mg==::TVIxX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JU::MA==::TVIxX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dD::MA==::TVIxX1dD"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dS::MQ==::TVIxX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JMV0w=::MQ==::TVIyX1JMV0w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX0RT::Mg==::TVIzX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RT::MA==::TVIxX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1BBU1I=::MA==::TVIxX1BBU1I="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MA==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MA==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MA==::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTA=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MA==::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MA==::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MA==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MA==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MA==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MA==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MA==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MA==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MA==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::LTE=::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::MA==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MA==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MA==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::Mi41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MjUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MA==::TUVNX1RSQw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MA==::TUVNX1RSQVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::MA==::TUVNX1RSQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::MA==::TUVNX1RSUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MA==::TUVNX1RSRUZJ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MA==::TUVNX1RSRkM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MA==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::MA==::TUVNX1RXUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MA==::TUVNX1RGQVc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::MA==::TUVNX1RSUkQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::MA==::TUVNX1RSVFA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MA==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::MA==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0ZPUk1BVA==::RElTQ1JFVEU=::TWVtb3J5IGZvcm1hdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0L2RlcHRoIGV4cGFuc2lvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NDAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTI=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::OA==::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUX0RBVEFfV0lEVEg=::MzI=::RGF0YSB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTc1::dElTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MjUw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::NTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::MTI1::dERIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTIw::dERRU1E="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSFM=::MzAw::dFFIUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::NDAw::dERRU0NL"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNI::MC4zNQ==::dERRU0g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC4zOA==::dFFTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NDk5::dElOSVQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::NDk5::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::Mg==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::Mw==::dE1SRCAodE1SVyk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::NDAuMA==::dFJBUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTUuMA==::dFJDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTUuMA==::dFJQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny4w::dFJFRkkgKHRSRUZJYWIp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::NzUuMA==::dFJGQyAodFJGQ2FiKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Mg==::dFdUUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzcuNQ==::dEZBVw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MA==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::MA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::Mg==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::MA==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::MA==::Q0ZHX1RZUEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::MA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::MA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MA==::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MA==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MA==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MA==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MA==::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QRF9DWUNMRVM=::MA==::QXV0byBQb3dlci1Eb3duIEN5Y2xlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19BVVRPX0NPUlJFQ1RJT05fRU5BQkxFRA==::ZmFsc2U=::RW5hYmxlIEF1dG8gRXJyb3IgQ29ycmVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19OVU0=::NA==::TnVtYmVyIG9mIGJhbmtzIHRvIHRyYWNr"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Ng==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::ZmFsc2U=::UExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::ZmFsc2U=::RExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MA==::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::Ng==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MC4w::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTI1LjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MC4w::UkVGX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MC4w::UkVGX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::MA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::MA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MC4w::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::MA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::MA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1BMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIFBMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MA==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::MA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MA==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MA==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::MA==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::MA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::MA==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::MC4w::TUVNX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MC4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::LTE=::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::LTE=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::LTE=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::LTE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::LTE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::LTE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::LTE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::LTE=::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::ZmFsc2U=::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::ZmFsc2U=::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::MA==::TUVNX1RfV0w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::MA==::TUVNX1RfUkw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBhZGRyZXNzIGFuZCBjb21tYW5kIGNsb2NrIHBoYXNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNF::MC4w::QWRkaXRpb25hbCBDSy9DSyMgcGhhc2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0xPQ0FUSU9O::VG9wX0JvdHRvbQ==::UmVjb25maWd1cmFibGUgUExMIExvY2F0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4wNQ==::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfRERS::MA==::RFFfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MA==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::MA==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MA==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MA==::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::MA==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MA==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MA==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MA==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::MA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MA==::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MA==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::MA==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::MA==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::MA==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::MA==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::MA==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MA==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Nw==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::dHJ1ZQ==::SEhQX0hQUw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::RERSMw==::U0RSQU0gUHJvdG9jb2w="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0RMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIERMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09DVF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIE9DVCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW50ZXJmYWNlRGVmaW5pdGlvbg==::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::aW50ZXJmYWNlRGVmaW5pdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cWlwRW50cmllcw==::e3NldF9pbnN0YW5jZV9hc3NpZ25tZW50IC1uYW1lIGhwc19wYXJ0aXRpb24gb24gLWVudGl0eSAlZW50aXR5TmFtZSUgLWxpYnJhcnkgJWxpYnJhcnlOYW1lJX0=::cWlwRW50cmllcw=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aWdub3JlU2ltdWxhdGlvbg==::dHJ1ZQ==::aWdub3JlU2ltdWxhdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aHBzX3BhcmFtZXRlcl9tYXA=::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::aHBzX3BhcmFtZXRlcl9tYXA="
set_global_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNTRU1BNFUyM0M2::ZGV2aWNl"
set_instance_assignment -name HPS_LOCATION HPSINTERFACECLOCKSRESETS_X32_Y50_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to clocks_resets
set_instance_assignment -name HPS_LOCATION HPSINTERFACEDBGAPB_X32_Y53_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to debug_apb
set_instance_assignment -name HPS_LOCATION HPSINTERFACETPIUTRACE_X32_Y18_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to tpiu
set_instance_assignment -name HPS_LOCATION HPSINTERFACEBOOTFROMFPGA_X32_Y46_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to boot_from_fpga
set_instance_assignment -name HPS_LOCATION HPSINTERFACEFPGA2HPS_X32_Y23_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to fpga2hps
set_instance_assignment -name HPS_LOCATION HPSINTERFACEHPS2FPGA_X32_Y24_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to hps2fpga
set_instance_assignment -name HPS_LOCATION HPSINTERFACEFPGA2SDRAM_X32_Y27_N111 -entity ulight_fifo_hps_0_fpga_interfaces -to f2sdram
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9faHBzXzBfZnBnYV9pbnRlcmZhY2Vz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2ludGVyZmFjZV9nZW5lcmF0b3I="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JM::Mg==::TVIwX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::Mw==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1dS::NA==::TVIwX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MQ==::TVIxX09EUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MQ==::TVIxX1JUVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MQ==::TVIyX0NXTA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MQ==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JM::Mg==::TVIxX0JM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0JU::MA==::TVIxX0JU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dD::MA==::TVIxX1dD"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1dS::MQ==::TVIxX1dS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIyX1JMV0w=::MQ==::TVIyX1JMV0w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIzX0RT::Mg==::TVIzX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX0RT::MA==::TVIxX0RT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVIxX1BBU1I=::MA==::TVIxX1BBU1I="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MA==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MA==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MA==::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTA=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MA==::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MA==::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MA==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MA==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MA==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MA==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MA==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MA==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MA==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::LTE=::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::MA==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::MA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MA==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MA==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::Mi41::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MjUwMC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MA==::TUVNX1RSQw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MA==::TUVNX1RSQVM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::MA==::TUVNX1RSQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::MA==::TUVNX1RSUA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MA==::TUVNX1RSRUZJ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MA==::TUVNX1RSRkM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MA==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::MA==::TUVNX1RXUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MA==::TUVNX1RGQVc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::MA==::TUVNX1RSUkQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::MA==::TUVNX1RSVFA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MA==::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MA==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::Ng==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::MA==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MA==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0ZPUk1BVA==::RElTQ1JFVEU=::TWVtb3J5IGZvcm1hdA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0L2RlcHRoIGV4cGFuc2lvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::NDAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTI=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::OA==::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::Ng==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::Nw==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUX0RBVEFfV0lEVEg=::MzI=::RGF0YSB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTc1::dElTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MjUw::dElIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::NTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::MTI1::dERIIChiYXNlKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTIw::dERRU1E="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSFM=::MzAw::dFFIUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::NDAw::dERRU0NL"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNQ==::dERRU1M="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNI::MC4zNQ==::dERRU0g="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC4zOA==::dFFTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4y::dERTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4y::dERTUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NDk5::dElOSVQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::NDk5::TUVNX1RJTklUX0NL"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::Mg==::TUVNX1REUVNDSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::Mw==::dE1SRCAodE1SVyk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::NDAuMA==::dFJBUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTUuMA==::dFJDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTUuMA==::dFJQ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny4w::dFJFRkkgKHRSRUZJYWIp"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::NzUuMA==::dFJGQyAodFJGQ2FiKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Mg==::dFdUUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzcuNQ==::dEZBVw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ny41::dFJSRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MA==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::MA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::Mg==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::MA==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MA==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::MA==::Q0ZHX1RZUEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::MA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::MA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::MA==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MA==::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::MA==::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MA==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MA==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MA==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MA==::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::ZmFsc2U=::TUFYMTBfQ0ZH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVVUT19QRF9DWUNMRVM=::MA==::QXV0byBQb3dlci1Eb3duIEN5Y2xlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19BVVRPX0NPUlJFQ1RJT05fRU5BQkxFRA==::ZmFsc2U=::RW5hYmxlIEF1dG8gRXJyb3IgQ29ycmVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19OVU0=::NA==::TnVtYmVyIG9mIGJhbmtzIHRvIHRyYWNr"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Ng==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::ZmFsc2U=::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::ZmFsc2U=::UExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::ZmFsc2U=::RExMX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MA==::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::Ng==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MC4w::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::MA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MA==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTI1LjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MC4w::UkVGX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MC4w::UkVGX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::MA==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MA==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MC4w::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::MA==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::MA==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MC4w::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::MA==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MA==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::MA==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MA==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MA==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::MA==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1BMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIFBMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MA==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::MA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MA==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MA==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::MA==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::MA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::MA==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MA==::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::MC4w::TUVNX0NMS19OUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MC4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::LTE=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::LTE=::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::LTE=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::LTE=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::LTE=::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::LTE=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::LTE=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::LTE=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::LTE=::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::ZmFsc2U=::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::ZmFsc2U=::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::MA==::TUVNX1RfV0w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::MA==::TUVNX1RfUkw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::ZmFsc2U=::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBhZGRyZXNzIGFuZCBjb21tYW5kIGNsb2NrIHBoYXNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNF::MC4w::QWRkaXRpb25hbCBDSy9DSyMgcGhhc2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UExMX0xPQ0FUSU9O::VG9wX0JvdHRvbQ==::UmVjb25maWd1cmFibGUgUExMIExvY2F0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4w::dElT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4w::dElI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4w::dERT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4w::dERI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC42::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC42::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::LTAuMDE=::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::LTAuMDE=::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wMQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wMQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4wNQ==::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wMg==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wMg==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::MC4w::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UkFURQ==::RnVsbA==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RFFfRERS::MA==::RFFfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::MA==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::MA==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MA==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::MA==::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::MA==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::MA==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::MA==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::MA==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::MA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MA==::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::MA==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::MA==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::MA==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::MA==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::MA==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::MA==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MA==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBW::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Nw==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::dHJ1ZQ==::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::dHJ1ZQ==::SEhQX0hQUw=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::dHJ1ZQ==::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::RERSMw==::U0RSQU0gUHJvdG9jb2w="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUE9SVF9TRVFfREVCVUdfQlJJREdF::ZmFsc2U=::RW5hYmxlIEVNSUYgT24tQ2hpcCBEZWJ1ZyBQb3J0"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Q09SRV9ERUJVR19DT05ORUNUSU9O::RVhQT1JU::RU1JRiBPbi1DaGlwIERlYnVnIFBvcnQgaW50ZXJmYWNlIHR5cGU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX0RMTF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIERMTCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "TlVNX09DVF9TSEFSSU5HX0lOVEVSRkFDRVM=::MQ==::TnVtYmVyIG9mIE9DVCBzaGFyaW5nIGludGVyZmFjZXM="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aW50ZXJmYWNlRGVmaW5pdGlvbg==::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::aW50ZXJmYWNlRGVmaW5pdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "aWdub3JlU2ltdWxhdGlvbg==::ZmFsc2U=::aWdub3JlU2ltdWxhdGlvbg=="
set_global_assignment -entity "ulight_fifo_hps_0_fpga_interfaces" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNTRU1BNFUyM0M2::ZGV2aWNl"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fZmlmb19lbXB0eV9yeF9zdGF0dXM="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::MQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fZGF0YV9pbmZv"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::MTQ=::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fZGF0YV9mbGFnX3J4"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fY291bnRlcl9yeF9maWZv"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::Ng==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fY2xvY2tfc2Vs"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::ZmFsc2U=::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::Mw==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_NAME "dWxpZ2h0X2ZpZm9fYXV0b19zdGFydA=="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_VERSION "MTcuMA=="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::ZmFsc2U=::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "d2lkdGg=::MQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"

set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "ulight_fifo.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_synchronizer.v"]
set_global_assignment -library "ulight_fifo" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.sdc"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_avalon_st_adapter.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_rsp_mux.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_arbitrator.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_rsp_demux.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_cmd_mux.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_cmd_demux.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_uncmpr.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_13_1.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_new.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_incr_burst_converter.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_wrap_burst_converter.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_default_burst_converter.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_address_alignment.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_pipeline_stage.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_pipeline_base.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_traffic_limiter.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_reorder_memory.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_sc_fifo.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_router_002.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_mm_interconnect_0_router.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_agent.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_uncompressor.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_axi_master_ni.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_translator.sv"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_write_data_fifo_tx.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_timecode_tx_data.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_timecode_rx.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_pll_0.v"]
set_global_assignment -library "ulight_fifo" -name QIP_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_pll_0.qip"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_led_pio_test.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_hps_0.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_hps_0_hps_io.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_pll.sv"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_clock_pair_generator.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_acv_hard_addr_cmd_pads.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_acv_hard_memphy.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_acv_ldc.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_acv_hard_io_pads.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_generic_ddio.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_reset.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_reset_sync.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_phy_csr.sv"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_iss_probe.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0.sv"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_altdqdqs.v"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altdq_dqs2_acv_connect_to_hard_phy_cyclonev.sv"]
set_global_assignment -library "ulight_fifo" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0.ppf"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_timing.tcl"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_report_timing.tcl"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_report_timing_core.tcl"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_pin_map.tcl"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_pin_assignments.tcl"]
set_global_assignment -library "ulight_fifo" -name TCL_FILE [file join $::quartus(qip_path) "submodules/hps_sdram_p0_parameters.tcl"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sdram_io.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sdram_io.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/alt_types.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/alt_types.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/system.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/system.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer.pre.c"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer.pre.c"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/tclrpt.pre.c"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/tclrpt.pre.c"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/tclrpt.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/tclrpt.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_defines.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_defines.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto_ac_init.pre.c"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto_ac_init.pre.c"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto_inst_init.pre.c"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto_inst_init.pre.c"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto.pre.h"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/sequencer_auto.pre.h"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/sequencer/emif.pre.xml"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/sequencer/emif.pre.xml"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_mem_if_hhp_qseq_synth_top.v"]
set_global_assignment -library "ulight_fifo" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/hps_AC_ROM.hex"]
set_global_assignment -library "ulight_fifo" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/hps_inst_ROM.hex"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_mem_if_hard_memory_controller_top_cyclonev.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_mem_if_oct_cyclonev.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_mem_if_dll_cyclonev.sv"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_hps_0_hps_io_border.sv"]
set_instance_assignment -entity "ulight_fifo_hps_0_hps_io_border" -library "ulight_fifo" -name HPS_ISW_FILE [file join $::quartus(qip_path) "submodules/hps.pre.xml"]
set_global_assignment -library "ulight_fifo" -name MISC_FILE [file join $::quartus(qip_path) "submodules/hps.pre.xml"]
set_global_assignment -library "ulight_fifo" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_hps_0_fpga_interfaces.sv"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_fifo_empty_rx_status.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_data_info.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_data_flag_rx.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_counter_rx_fifo.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_clock_sel.v"]
set_global_assignment -library "ulight_fifo" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ulight_fifo_auto_start.v"]

set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_TOOL_NAME "altera_reset_controller"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_reset_controller" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_mux" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_rsp_demux" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_mux" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_cmd_demux" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_burst_adapter"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_traffic_limiter"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router_002" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_mm_interconnect_0_router" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_sc_fifo"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_slave_agent"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_merlin_slave_agent" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_axi_master_ni"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_merlin_axi_master_ni" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_TOOL_NAME "altera_merlin_slave_translator"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_write_data_fifo_tx" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_timecode_tx_data" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_timecode_rx" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_TOOL_NAME "altera_pll"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_pll_0" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_led_pio_test" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_TOOL_NAME "altera_hps"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_hps_0" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_TOOL_NAME "altera_hps_io"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_hps_0_hps_io" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_fifo_empty_rx_status" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_data_info" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_data_flag_rx" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_counter_rx_fifo" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_clock_sel" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_TOOL_VERSION "17.0"
set_global_assignment -entity "ulight_fifo_auto_start" -library "ulight_fifo" -name IP_TOOL_ENV "Qsys"

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.