OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [xilinx/] [ise/] [sport_top/] [sport_top.syr] - Rev 7

Compare with Previous | Blame | View Log

Release 14.7 - xst P.20131013 (nt64)
Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.
--> Parameter TMPDIR set to xst/projnav.tmp


Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.12 secs
 
--> Parameter xsthdpdir set to xst


Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.12 secs
 
--> Reading design: sport_top.prj

TABLE OF CONTENTS
  1) Synthesis Options Summary
  2) HDL Compilation
  3) Design Hierarchy Analysis
  4) HDL Analysis
  5) HDL Synthesis
     5.1) HDL Synthesis Report
  6) Advanced HDL Synthesis
     6.1) Advanced HDL Synthesis Report
  7) Low Level Synthesis
  8) Partition Report
  9) Final Report
        9.1) Device utilization summary
        9.2) Partition Resource Summary
        9.3) TIMING REPORT


=========================================================================
*                      Synthesis Options Summary                        *
=========================================================================
---- Source Parameters
Input File Name                    : "sport_top.prj"
Input Format                       : mixed
Ignore Synthesis Constraint File   : NO

---- Target Parameters
Output File Name                   : "sport_top"
Output Format                      : NGC
Target Device                      : xc3s700an-4-fgg484

---- Source Options
Top Module Name                    : sport_top
Automatic FSM Extraction           : YES
FSM Encoding Algorithm             : Auto
Safe Implementation                : No
FSM Style                          : LUT
RAM Extraction                     : Yes
RAM Style                          : Auto
ROM Extraction                     : Yes
Mux Style                          : Auto
Decoder Extraction                 : YES
Priority Encoder Extraction        : Yes
Shift Register Extraction          : YES
Logical Shifter Extraction         : YES
XOR Collapsing                     : YES
ROM Style                          : Auto
Mux Extraction                     : Yes
Resource Sharing                   : YES
Asynchronous To Synchronous        : NO
Multiplier Style                   : Auto
Automatic Register Balancing       : No

---- Target Options
Add IO Buffers                     : YES
Global Maximum Fanout              : 100000
Add Generic Clock Buffer(BUFG)     : 24
Register Duplication               : YES
Slice Packing                      : YES
Optimize Instantiated Primitives   : NO
Use Clock Enable                   : Yes
Use Synchronous Set                : Yes
Use Synchronous Reset              : Yes
Pack IO Registers into IOBs        : Auto
Equivalent register Removal        : YES

---- General Options
Optimization Goal                  : Speed
Optimization Effort                : 1
Keep Hierarchy                     : No
Netlist Hierarchy                  : As_Optimized
RTL Output                         : Yes
Global Optimization                : AllClockNets
Read Cores                         : YES
Write Timing Constraints           : NO
Cross Clock Analysis               : NO
Hierarchy Separator                : /
Bus Delimiter                      : <>
Case Specifier                     : Maintain
Slice Utilization Ratio            : 100
BRAM Utilization Ratio             : 100
Verilog 2001                       : YES
Auto BRAM Packing                  : NO
Slice Utilization Ratio Delta      : 5

=========================================================================


=========================================================================
*                          HDL Compilation                              *
=========================================================================
Compiling verilog file "../../../../rtl/verilog/wb_interface.v" in library work
Compiling verilog include file "../../../../rtl/verilog/sport_defines.v"
Compiling verilog file "../../../../rtl/verilog/fifos.v" in library work
Compiling verilog include file "../../../../rtl/verilog/SPORT_defines.v"
Module <wb_interface_sport> compiled
Module <fifo_sport> compiled
Module <custom_fifo_dp> compiled
Compiling verilog file "../../../../rtl/verilog/sport_top.v" in library work
Compiling verilog include file "../../../../rtl/verilog/sport_defines.v"
Module <mem_byte> compiled
Module <sport_top> compiled
No errors in compilation
Analysis of file <"sport_top.prj"> succeeded.
 

=========================================================================
*                     Design Hierarchy Analysis                         *
=========================================================================
Analyzing hierarchy for module <sport_top> in library <work>.

Analyzing hierarchy for module <fifo_sport> in library <work>.

Analyzing hierarchy for module <wb_interface_sport> in library <work>.

Analyzing hierarchy for module <custom_fifo_dp> in library <work>.

Analyzing hierarchy for module <mem_byte> in library <work>.


=========================================================================
*                            HDL Analysis                               *
=========================================================================
Analyzing top module <sport_top>.
WARNING:Xst:863 - "../../../../rtl/verilog/sport_top.v" line 161: Name conflict (<txFS> and <txfs>, renaming txFS as txfs_rnm0).
WARNING:Xst:863 - "../../../../rtl/verilog/sport_top.v" line 161: Name conflict (<rxFS> and <rxfs>, renaming rxFS as rxfs_rnm0).
Module <sport_top> is correct for synthesis.
 
Analyzing module <fifo_sport> in library <work>.
Module <fifo_sport> is correct for synthesis.
 
Analyzing module <custom_fifo_dp> in library <work>.
Module <custom_fifo_dp> is correct for synthesis.
 
Analyzing module <mem_byte> in library <work>.
Module <mem_byte> is correct for synthesis.
 
Analyzing module <wb_interface_sport> in library <work>.
Module <wb_interface_sport> is correct for synthesis.
 

=========================================================================
*                           HDL Synthesis                               *
=========================================================================

Performing bidirectional port resolution...

Synthesizing Unit <wb_interface_sport>.
    Related source file is "../../../../rtl/verilog/wb_interface.v".
WARNING:Xst:647 - Input <dat_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <wb_cti_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <wb_sel_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <we_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <wb_wr_en> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <wb_rd_en> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <txreg<31:21>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <stb_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rxreg<31:21>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rst_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <full> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <cyc_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <clk_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ack_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 32-bit tristate buffer for signal <wb_dat_o>.
    Found 1-bit register for signal <ack>.
    Found 1-bit register for signal <err>.
    Found 1-bit register for signal <rty>.
    Found 32-bit register for signal <rxreg>.
    Found 32-bit register for signal <txreg>.
    Summary:
        inferred  35 D-type flip-flop(s).
        inferred  32 Tristate(s).
Unit <wb_interface_sport> synthesized.


Synthesizing Unit <mem_byte>.
    Related source file is "../../../../rtl/verilog/fifos.v".
    Found 8-bit tristate buffer for signal <dout>.
    Found 8-bit register for signal <byte_reg>.
    Summary:
        inferred   8 D-type flip-flop(s).
        inferred   8 Tristate(s).
Unit <mem_byte> synthesized.


Synthesizing Unit <custom_fifo_dp>.
    Related source file is "../../../../rtl/verilog/fifos.v".
    Found 10-bit comparator equal for signal <empty>.
    Found 10-bit register for signal <addr_rd>.
    Found 10-bit register for signal <addr_wr>.
    Found 8-bit register for signal <fifo_out>.
    Summary:
        inferred  28 D-type flip-flop(s).
        inferred   1 Comparator(s).
Unit <custom_fifo_dp> synthesized.


Synthesizing Unit <fifo_sport>.
    Related source file is "../../../../rtl/verilog/fifos.v".
Unit <fifo_sport> synthesized.


Synthesizing Unit <sport_top>.
    Related source file is "../../../../rtl/verilog/sport_top.v".
WARNING:Xst:646 - Signal <word_out> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <word_in> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <wei_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <wb_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <wb_sel_i> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <wb_data_i> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <wb_cti_i> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <txsecEn_tx> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <txsecEn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <txlateFS_earlyFSn_tx> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <txlateFS_earlyFSn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <txidle> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <tx_actHi_tx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rxstate> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <rxsecEn_rx> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <rxsecEn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <rxlateFS_earlyFSn_rx> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <rxlateFS_earlyFSn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rxidle> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <rx_actHi_rx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rxSec<31>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rxPri<31>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <rst> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:1780 - Signal <msbFirst_tx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <lock_cfg> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fullwrite> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fullread> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <emptywrite> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <emptyread> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <data_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <data> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <clk> is used but never assigned. This sourceless signal will be automatically connected to value 0.
    Found finite state machine <FSM_0> for signal <state>.
    -----------------------------------------------------------------------
    | States             | 4                                              |
    | Transitions        | 7                                              |
    | Inputs             | 3                                              |
    | Outputs            | 2                                              |
    | Clock              | txclk                     (rising_edge)        |
    | Reset              | rst                       (positive)           |
    | Reset type         | asynchronous                                   |
    | Reset State        | 000                                            |
    | Encoding           | automatic                                      |
    | Implementation     | LUT                                            |
    -----------------------------------------------------------------------
    Found 1-bit register for signal <rxfs_rnm0>.
    Found 10-bit register for signal <rxpacketCnt_rx>.
    Found 10-bit adder for signal <rxpacketCnt_rx$addsub0000>.
    Found 32-bit register for signal <rxPri>.
    Found 5-bit register for signal <rxsampleCnt_rx>.
    Found 5-bit adder for signal <rxsampleCnt_rx$addsub0000>.
    Found 32-bit register for signal <rxSec>.
    Found 5-bit comparator equal for signal <state$cmp_eq0000> created at line 265.
    Found 10-bit comparator equal for signal <state$cmp_eq0001> created at line 266.
    Found 1-bit register for signal <tx_start_tx>.
    Found 1-bit register for signal <txfs_rnm0>.
    Found 10-bit register for signal <txpacketCnt_tx>.
    Found 10-bit adder for signal <txpacketCnt_tx$addsub0000>.
    Found 5-bit register for signal <txsampleCnt_tx>.
    Found 5-bit adder for signal <txsampleCnt_tx$addsub0000>.
    Found 32-bit register for signal <word_outL>.
    Found 32-bit register for signal <word_outM>.
    Summary:
        inferred   1 Finite State Machine(s).
        inferred 161 D-type flip-flop(s).
        inferred   4 Adder/Subtractor(s).
        inferred   2 Comparator(s).
Unit <sport_top> synthesized.

INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.

=========================================================================
HDL Synthesis Report

Macro Statistics
# Adders/Subtractors                                   : 2
 10-bit adder                                          : 1
 5-bit adder                                           : 1
# Registers                                            : 262
 1-bit register                                        : 166
 10-bit register                                       : 1
 32-bit register                                       : 6
 5-bit register                                        : 1
 8-bit register                                        : 88
# Comparators                                          : 10
 10-bit comparator equal                               : 9
 5-bit comparator equal                                : 1
# Tristates                                            : 81
 32-bit tristate buffer                                : 1
 8-bit tristate buffer                                 : 80

=========================================================================

=========================================================================
*                       Advanced HDL Synthesis                          *
=========================================================================

Analyzing FSM <FSM_0> for best encoding.
Optimizing FSM <state/FSM> on signal <state[1:2]> with gray encoding.
-------------------
 State | Encoding
-------------------
 000   | 00
 001   | 01
 010   | 10
 011   | 11
-------------------
WARNING:Xst:1290 - Hierarchical block <datafiforead> is unconnected in block <sport_top>.
   It will be removed from the design.
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp8.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp8.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp7.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp7.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp6.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp6.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_wr_0 hinder the constant cleaning in the block custom_fifo_dp5.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1426 - The value init of the FF/Latch addr_rd_0 hinder the constant cleaning in the block custom_fifo_dp5.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_5> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_4> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_3> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_2> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_1> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_0> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_rd_1> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_wr_1> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_7> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_6> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_5> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_4> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_3> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_2> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <err> (without init value) has a constant value of 0 in block <wb_interface>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_rd_1> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_wr_1> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_7> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_6> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_5> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_4> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_3> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_2> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_1> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_0> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_rd_1> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_wr_1> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_7> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_6> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_rd_1> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <addr_wr_1> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_7> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_6> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_5> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_4> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_3> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_2> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_1> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_0> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[5].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[4].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_1> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <fifo_out_0> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[9].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[8].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[7].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[6].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[0].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[3].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_6> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_5> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_4> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_7> (without init value) has a constant value of 0 in block <mem[1].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_0> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_1> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_2> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <byte_reg_3> (without init value) has a constant value of 0 in block <mem[2].mem_byte>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_22> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_21> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_20> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_19> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_18> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_17> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_16> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_15> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_14> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_13> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_12> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_28> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_29> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_11> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_10> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_30> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_31> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_28> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_31> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_30> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_10> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_11> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_12> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_13> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_29> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_14> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_15> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_23> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_24> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_25> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_26> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_27> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_27> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_26> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_25> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_24> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_23> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_22> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_21> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_20> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_19> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_18> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_17> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_16> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_2> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_2> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_2> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_2> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_2> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_2> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_2> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_2> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_3> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_3> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_3> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_3> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_3> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_3> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_3> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_3> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_4> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_4> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_4> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_4> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_4> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_4> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_4> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_4> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_5> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_5> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_5> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_5> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_5> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_5> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_5> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_5> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_6> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_6> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_6> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_6> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_6> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_6> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_6> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_6> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_7> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_7> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_7> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_7> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_7> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_7> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_7> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_7> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_8> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_8> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_8> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_8> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_8> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_8> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_8> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_8> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_9> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_9> (without init value) has a constant value of 0 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_9> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_9> (without init value) has a constant value of 0 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_9> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_9> (without init value) has a constant value of 0 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_9> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_9> (without init value) has a constant value of 0 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_0> (without init value) has a constant value of 1 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_0> (without init value) has a constant value of 1 in block <custom_fifo_dp7>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_0> (without init value) has a constant value of 1 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_0> (without init value) has a constant value of 1 in block <custom_fifo_dp5>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_0> (without init value) has a constant value of 1 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_0> (without init value) has a constant value of 1 in block <custom_fifo_dp6>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_wr_0> (without init value) has a constant value of 1 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <addr_rd_0> (without init value) has a constant value of 1 in block <custom_fifo_dp8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <txreg_18> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_19> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_21> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_22> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_23> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_24> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_25> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_26> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_27> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_28> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_29> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_30> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:2677 - Node <txreg_31> of sequential type is unconnected in block <wb_interface>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <txreg_15> is unconnected in block <wb_interface>.
WARNING:Xst:1290 - Hierarchical block <datafifowrite> is unconnected in block <sport_top>.
   It will be removed from the design.
WARNING:Xst:2677 - Node <txreg_21> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_22> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_23> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_24> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_25> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_26> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_27> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_28> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_29> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_30> of sequential type is unconnected in block <wb_interface_sport>.
WARNING:Xst:2677 - Node <txreg_31> of sequential type is unconnected in block <wb_interface_sport>.

=========================================================================
Advanced HDL Synthesis Report

Macro Statistics
# FSMs                                                 : 1
# Adders/Subtractors                                   : 2
 10-bit adder                                          : 1
 5-bit adder                                           : 1
# Registers                                            : 1002
 Flip-Flops                                            : 1002
# Comparators                                          : 10
 10-bit comparator equal                               : 9
 5-bit comparator equal                                : 1

=========================================================================

=========================================================================
*                         Low Level Synthesis                           *
=========================================================================
WARNING:Xst:1710 - FF/Latch <err> (without init value) has a constant value of 0 in block <wb_interface_sport>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp8/addr_rd_1 hinder the constant cleaning in the block sport_top.
   You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp7/addr_rd_1 hinder the constant cleaning in the block sport_top.
   You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp6/addr_rd_1 hinder the constant cleaning in the block sport_top.
   You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch datafifowrite/custom_fifo_dp5/addr_rd_1 hinder the constant cleaning in the block sport_top.
   You should achieve better results by setting this init to 1.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp7/fifo_out_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp5/fifo_out_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp8/fifo_out_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <datafifowrite/custom_fifo_dp6/fifo_out_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_30> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_29> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_28> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_27> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_26> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_25> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_24> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_23> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_22> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_31> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_0> (without init value) has a constant value of 1 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_30> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_29> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_28> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_27> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_26> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_25> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_24> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_23> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_22> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_21> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_20> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_19> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_18> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_17> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_16> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_15> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_14> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_13> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_12> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_11> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_10> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_10> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_11> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_12> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_13> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_14> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_15> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_16> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_17> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_18> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_19> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_20> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_21> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outM_31> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_0> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_1> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <word_outL_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_2> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_3> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_4> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_5> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_6> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_7> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_8> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp8/addr_rd_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp5/addr_rd_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp7/addr_rd_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <datafifowrite/custom_fifo_dp6/addr_rd_9> (without init value) has a constant value of 0 in block <sport_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/fifo_out_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_rd_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp8/addr_wr_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp8/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/fifo_out_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_rd_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp7/addr_wr_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp7/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/fifo_out_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_rd_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp6/addr_wr_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp6/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/fifo_out_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_0> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_rd_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <datafiforead/custom_fifo_dp5/addr_wr_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <datafiforead/custom_fifo_dp5/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp8/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_1> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_2> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_3> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_4> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_5> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_6> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_7> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_8> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp8/addr_wr_9> is unconnected in block <sport_top>.
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp7/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_1> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_2> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_3> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_4> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_5> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_6> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_7> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_8> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp7/addr_wr_9> is unconnected in block <sport_top>.
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp6/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_1> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_2> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_3> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_4> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_5> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_6> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_7> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_8> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp6/addr_wr_9> is unconnected in block <sport_top>.
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[0].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[1].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[2].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[3].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[4].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[5].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[6].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[7].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[8].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1899 - Due to constant pushing, all outputs of the instance <datafifowrite/custom_fifo_dp5/mem[9].mem_byte> of the block <mem_byte> are unconnected in block <sport_top>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_1> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_2> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_3> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_4> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_5> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_6> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_7> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_8> is unconnected in block <sport_top>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <datafifowrite/custom_fifo_dp5/addr_wr_9> is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_1> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_2> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_3> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_4> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_5> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_6> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_7> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_8> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_9> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_10> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_11> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_12> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_13> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_14> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_16> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_18> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_19> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_21> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_22> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_23> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_24> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_25> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_26> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_27> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_28> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_29> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_30> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/rxreg_31> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/txreg_19> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/txreg_18> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2677 - Node <wb_interface/txreg_15> of sequential type is unconnected in block <sport_top>.
WARNING:Xst:2042 - Unit mem_byte: 8 internal tristates are replaced by logic (pull-up yes): dout<0>, dout<1>, dout<2>, dout<3>, dout<4>, dout<5>, dout<6>, dout<7>.

Optimizing unit <sport_top> ...

Optimizing unit <mem_byte> ...

Mapping all equations...
Building and optimizing final netlist ...
Found area constraint ratio of 100 (+ 5) on block sport_top, actual ratio is 0.

Final Macro Processing ...

=========================================================================
Final Register Report

Macro Statistics
# Registers                                            : 44
 Flip-Flops                                            : 44

=========================================================================

=========================================================================
*                           Partition Report                            *
=========================================================================

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.

-------------------------------

=========================================================================
*                            Final Report                               *
=========================================================================
Final Results
RTL Top Level Output File Name     : sport_top.ngr
Top Level Output File Name         : sport_top
Output Format                      : NGC
Optimization Goal                  : Speed
Keep Hierarchy                     : No

Design Statistics
# IOs                              : 89

Cell Usage :
# BELS                             : 78
#      GND                         : 1
#      INV                         : 1
#      LUT1                        : 9
#      LUT2                        : 5
#      LUT2_D                      : 1
#      LUT3                        : 13
#      LUT3_D                      : 1
#      LUT3_L                      : 1
#      LUT4                        : 18
#      LUT4_L                      : 1
#      MUXCY                       : 14
#      MUXF5                       : 2
#      VCC                         : 1
#      XORCY                       : 10
# FlipFlops/Latches                : 44
#      FDC                         : 22
#      FDCE_1                      : 22
# Clock Buffers                    : 3
#      BUFG                        : 2
#      BUFGP                       : 1
# IO Buffers                       : 73
#      IBUF                        : 31
#      OBUF                        : 10
#      OBUFT                       : 32
=========================================================================

Device utilization summary:
---------------------------

Selected Device : 3s700anfgg484-4 

 Number of Slices:                       36  out of   5888     0%  
 Number of Slice Flip Flops:             42  out of  11776     0%  
 Number of 4 input LUTs:                 50  out of  11776     0%  
 Number of IOs:                          89
 Number of bonded IOBs:                  74  out of    372    19%  
    IOB Flip Flops:                       2
 Number of GCLKs:                         3  out of     24    12%  

---------------------------
Partition Resource Summary:
---------------------------

  No Partitions were found in this design.

---------------------------


=========================================================================
TIMING REPORT

NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
      GENERATED AFTER PLACE-and-ROUTE.

Clock Information:
------------------
-----------------------------------+------------------------+-------+
Clock Signal                       | Clock buffer(FF name)  | Load  |
-----------------------------------+------------------------+-------+
txclk                              | IBUF+BUFG              | 19    |
rxclk                              | IBUF+BUFG              | 1     |
wb_clk_i                           | BUFGP                  | 24    |
-----------------------------------+------------------------+-------+

Asynchronous Control Signals Information:
----------------------------------------
-----------------------------------+------------------------+-------+
Control Signal                     | Buffer(FF name)        | Load  |
-----------------------------------+------------------------+-------+
wb_rst_i                           | IBUF                   | 24    |
DTxPRI_OBUF(XST_GND:G)             | NONE(rxfs_rnm0)        | 20    |
-----------------------------------+------------------------+-------+

Timing Summary:
---------------
Speed Grade: -4

   Minimum period: 5.112ns (Maximum Frequency: 195.618MHz)
   Minimum input arrival time before clock: 4.927ns
   Maximum output required time after clock: 6.742ns
   Maximum combinational path delay: 8.487ns

Timing Detail:
--------------
All values displayed in nanoseconds (ns)

=========================================================================
Timing constraint: Default period analysis for Clock 'txclk'
  Clock period: 5.112ns (frequency: 195.618MHz)
  Total number of paths / destination ports: 128 / 18
-------------------------------------------------------------------------
Delay:               5.112ns (Levels of Logic = 11)
  Source:            txpacketCnt_tx_1 (FF)
  Destination:       txpacketCnt_tx_9 (FF)
  Source Clock:      txclk rising
  Destination Clock: txclk rising

  Data Path: txpacketCnt_tx_1 to txpacketCnt_tx_9
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDC:C->Q              2   0.591   0.590  txpacketCnt_tx_1 (txpacketCnt_tx_1)
     LUT1:I0->O            1   0.648   0.000  Madd_txpacketCnt_tx_addsub0000_cy<1>_rt (Madd_txpacketCnt_tx_addsub0000_cy<1>_rt)
     MUXCY:S->O            1   0.632   0.000  Madd_txpacketCnt_tx_addsub0000_cy<1> (Madd_txpacketCnt_tx_addsub0000_cy<1>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<2> (Madd_txpacketCnt_tx_addsub0000_cy<2>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<3> (Madd_txpacketCnt_tx_addsub0000_cy<3>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<4> (Madd_txpacketCnt_tx_addsub0000_cy<4>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<5> (Madd_txpacketCnt_tx_addsub0000_cy<5>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<6> (Madd_txpacketCnt_tx_addsub0000_cy<6>)
     MUXCY:CI->O           1   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<7> (Madd_txpacketCnt_tx_addsub0000_cy<7>)
     MUXCY:CI->O           0   0.065   0.000  Madd_txpacketCnt_tx_addsub0000_cy<8> (Madd_txpacketCnt_tx_addsub0000_cy<8>)
     XORCY:CI->O           1   0.844   0.452  Madd_txpacketCnt_tx_addsub0000_xor<9> (txpacketCnt_tx_addsub0000<9>)
     LUT3:I2->O            1   0.648   0.000  txpacketCnt_tx_mux0000<9>1 (txpacketCnt_tx_mux0000<9>)
     FDC:D                     0.252          txpacketCnt_tx_9
    ----------------------------------------
    Total                      5.112ns (4.070ns logic, 1.042ns route)
                                       (79.6% logic, 20.4% route)

=========================================================================
Timing constraint: Default period analysis for Clock 'wb_clk_i'
  Clock period: 3.042ns (frequency: 328.731MHz)
  Total number of paths / destination ports: 22 / 22
-------------------------------------------------------------------------
Delay:               3.042ns (Levels of Logic = 1)
  Source:            wb_interface/txreg_20 (FF)
  Destination:       wb_interface/txreg_20 (FF)
  Source Clock:      wb_clk_i falling
  Destination Clock: wb_clk_i falling

  Data Path: wb_interface/txreg_20 to wb_interface/txreg_20
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDCE_1:C->Q           1   0.591   0.423  wb_interface/txreg_20 (wb_interface/txreg_20)
     LUT4:I3->O           18   0.648   1.068  wb_interface/txreg_and00001 (wb_interface/txreg_and0000)
     FDCE_1:CE                 0.312          wb_interface/txreg_0
    ----------------------------------------
    Total                      3.042ns (1.551ns logic, 1.491ns route)
                                       (51.0% logic, 49.0% route)

=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'wb_clk_i'
  Total number of paths / destination ports: 228 / 46
-------------------------------------------------------------------------
Offset:              4.927ns (Levels of Logic = 4)
  Source:            wb_adr_i<4> (PAD)
  Destination:       wb_interface/txreg_20 (FF)
  Destination Clock: wb_clk_i falling

  Data Path: wb_adr_i<4> to wb_interface/txreg_20
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     IBUF:I->O             1   0.849   0.563  wb_adr_i_4_IBUF (wb_adr_i_4_IBUF)
     LUT4:I0->O            1   0.648   0.000  wb_interface/txreg_and000021 (wb_interface/txreg_and00002)
     MUXF5:I0->O           3   0.276   0.563  wb_interface/txreg_and00002_f5 (N3)
     LUT4:I2->O           18   0.648   1.068  wb_interface/txreg_and00001 (wb_interface/txreg_and0000)
     FDCE_1:CE                 0.312          wb_interface/txreg_0
    ----------------------------------------
    Total                      4.927ns (2.733ns logic, 2.194ns route)
                                       (55.5% logic, 44.5% route)

=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'wb_clk_i'
  Total number of paths / destination ports: 7 / 6
-------------------------------------------------------------------------
Offset:              6.742ns (Levels of Logic = 2)
  Source:            wb_interface/txreg_17 (FF)
  Destination:       TFSx (PAD)
  Source Clock:      wb_clk_i falling

  Data Path: wb_interface/txreg_17 to TFSx
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDCE_1:C->Q           1   0.591   0.563  wb_interface/txreg_17 (wb_interface/txreg_17)
     LUT2:I0->O            1   0.648   0.420  TFSx1 (TFSx_OBUF)
     OBUF:I->O                 4.520          TFSx_OBUF (TFSx)
    ----------------------------------------
    Total                      6.742ns (5.759ns logic, 0.983ns route)
                                       (85.4% logic, 14.6% route)

=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'txclk'
  Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset:              6.674ns (Levels of Logic = 2)
  Source:            txfs_rnm0 (FF)
  Destination:       TFSx (PAD)
  Source Clock:      txclk rising

  Data Path: txfs_rnm0 to TFSx
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDC:C->Q              1   0.591   0.500  txfs_rnm0 (txfs_rnm0)
     LUT2:I1->O            1   0.643   0.420  TFSx1 (TFSx_OBUF)
     OBUF:I->O                 4.520          TFSx_OBUF (TFSx)
    ----------------------------------------
    Total                      6.674ns (5.754ns logic, 0.920ns route)
                                       (86.2% logic, 13.8% route)

=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'rxclk'
  Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset:              6.674ns (Levels of Logic = 2)
  Source:            rxfs_rnm0 (FF)
  Destination:       RFSx (PAD)
  Source Clock:      rxclk rising

  Data Path: rxfs_rnm0 to RFSx
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDC:C->Q              1   0.591   0.500  rxfs_rnm0 (rxfs_rnm0)
     LUT2:I1->O            1   0.643   0.420  RFSx1 (RFSx_OBUF)
     OBUF:I->O                 4.520          RFSx_OBUF (RFSx)
    ----------------------------------------
    Total                      6.674ns (5.754ns logic, 0.920ns route)
                                       (86.2% logic, 13.8% route)

=========================================================================
Timing constraint: Default path analysis
  Total number of paths / destination ports: 104 / 34
-------------------------------------------------------------------------
Delay:               8.487ns (Levels of Logic = 5)
  Source:            wb_adr_i<4> (PAD)
  Destination:       wb_dat_o<0> (PAD)

  Data Path: wb_adr_i<4> to wb_dat_o<0>
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     IBUF:I->O             1   0.849   0.563  wb_adr_i_4_IBUF (wb_adr_i_4_IBUF)
     LUT4:I0->O            1   0.648   0.000  wb_interface/txreg_and000021 (wb_interface/txreg_and00002)
     MUXF5:I0->O           3   0.276   0.563  wb_interface/txreg_and00002_f5 (N3)
     LUT4:I2->O            1   0.648   0.420  wb_interface/wb_dat_rdbk1 (wb_interface/wb_dat_rdbk)
     OBUFT:I->O                4.520          wb_dat_o_0_OBUFT (wb_dat_o<0>)
    ----------------------------------------
    Total                      8.487ns (6.941ns logic, 1.546ns route)
                                       (81.8% logic, 18.2% route)

=========================================================================


Total REAL time to Xst completion: 13.00 secs
Total CPU time to Xst completion: 12.50 secs
 
--> 

Total memory usage is 287372 kilobytes

Number of errors   :    0 (   0 filtered)
Number of warnings :  990 (   0 filtered)
Number of infos    :    1 (   0 filtered)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.