OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [syn/] [xilinx/] [vivado/] [sport_top/] [sport_top.runs/] [impl_1/] [gen_run.xml] - Rev 7

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<GenRun Id="impl_1" LaunchPart="xc7vx485tffg1157-1" LaunchTime="1424460147">
  <File Type="RUN-CONSTRS" Name="$PDATADIR/runs/impl_1/constrs_in.xml"/>
  <File Type="PWROPT-DCP" Name="sport_top_pwropt.dcp"/>
  <File Type="RUN-STRAT" Name="$PDATADIR/runs/impl_1/impl_1.psg"/>
  <File Type="OPT-DRC" Name="sport_top_drc_opted.rpt"/>
  <File Type="ROUTE-TIMING-PB" Name="sport_top_timing_summary_routed.pb"/>
  <File Type="PA-TCL" Name="sport_top.tcl"/>
  <File Type="OPT-DCP" Name="sport_top_opt.dcp"/>
  <File Type="PWROPT-DRC" Name="sport_top_drc_pwropted.rpt"/>
  <File Type="PLACE-DCP" Name="sport_top_placed.dcp"/>
  <File Type="PLACE-IO" Name="sport_top_io_placed.rpt"/>
  <File Type="PLACE-CLK" Name="sport_top_clock_utilization_placed.rpt"/>
  <File Type="PLACE-UTIL" Name="sport_top_utilization_placed.rpt"/>
  <File Type="PLACE-UTIL-PB" Name="sport_top_utilization_placed.pb"/>
  <File Type="PLACE-CTRL" Name="sport_top_control_sets_placed.rpt"/>
  <File Type="PLACE-SIMILARITY" Name="sport_top_incremental_reuse_placed.rpt"/>
  <File Type="PLACE-PRE-SIMILARITY" Name="sport_top_incremental_reuse_pre_placed.rpt"/>
  <File Type="POSTPLACE-PWROPT-DCP" Name="sport_top_postplace_pwropt.dcp"/>
  <File Type="PHYSOPT-DCP" Name="sport_top_physopt.dcp"/>
  <File Type="PHYSOPT-DRC" Name="sport_top_drc_physopted.rpt"/>
  <File Type="ROUTE-DCP" Name="sport_top_routed.dcp"/>
  <File Type="ROUTE-DRC" Name="sport_top_drc_routed.rpt"/>
  <File Type="ROUTE-DRC-PB" Name="sport_top_drc_routed.pb"/>
  <File Type="ROUTE-PWR" Name="sport_top_power_routed.rpt"/>
  <File Type="ROUTE-PWR-SUM" Name="sport_top_power_summary_routed.pb"/>
  <File Type="ROUTE-STATUS" Name="sport_top_route_status.rpt"/>
  <File Type="ROUTE-STATUS-PB" Name="sport_top_route_status.pb"/>
  <File Type="ROUTE-TIMINGSUMMARY" Name="sport_top_timing_summary_routed.rpt"/>
  <File Type="ROUTE-SIMILARITY" Name="sport_top_incremental_reuse_routed.rpt"/>
  <File Type="POSTROUTE-PHYSOPT-DCP" Name="sport_top_postroute_physopt.dcp"/>
  <File Type="POSTROUTE-PHYSOPT-TIMING" Name="sport_top_timing_summary_postroute_physopted.rpt"/>
  <File Type="RDI-RDI" Name="sport_top.vdi"/>
  <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="sport_top_timing_summary_postroute_physopted.pb"/>
  <File Type="BG-BIT" Name="sport_top.bit"/>
  <File Type="BG-BIN" Name="sport_top.bin"/>
  <File Type="BITSTR-MSK" Name="sport_top.msk"/>
  <File Type="BITSTR-RBT" Name="sport_top.rbt"/>
  <File Type="BITSTR-NKY" Name="sport_top.nky"/>
  <File Type="BITSTR-BMM" Name="sport_top_bd.bmm"/>
  <File Type="BITSTR-MMI" Name="sport_top.mmi"/>
  <File Type="BITSTR-SYSDEF" Name="sport_top.sysdef"/>
  <File Type="BG-BGN" Name="sport_top.bgn"/>
  <File Type="BG-DRC" Name="sport_top.drc"/>
  <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
    <Filter Type="Constrs"/>
    <File Path="$PSRCDIR/constrs_1/new/sport_top.xdc">
      <FileInfo>
        <Attr Name="Library" Val="xil_defaultlib"/>
        <Attr Name="UsedIn" Val="synthesis"/>
        <Attr Name="UsedIn" Val="implementation"/>
      </FileInfo>
    </File>
    <Config>
      <Option Name="ConstrsType" Val="XDC"/>
    </Config>
  </FileSet>
  <Strategy Version="1" Minor="2">
    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014">
      <Desc>Vivado Implementation Defaults</Desc>
    </StratHandle>
    <Step Id="init_design"/>
    <Step Id="opt_design"/>
    <Step Id="power_opt_design"/>
    <Step Id="place_design"/>
    <Step Id="post_place_power_opt_design"/>
    <Step Id="phys_opt_design"/>
    <Step Id="route_design"/>
    <Step Id="post_route_phys_opt_design"/>
    <Step Id="write_bitstream"/>
  </Strategy>
</GenRun>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.