OpenCores
URL https://opencores.org/ocsvn/threeaesc/threeaesc/trunk

Subversion Repositories threeaesc

[/] [threeaesc/] [trunk/] [aes_c_1/] [scripts/] [aes_enc.do] - Rev 2

Compare with Previous | Blame | View Log

# script general de simulacion
# questa v6

vlib work

# libs

vcom -explicit  -93 "src/aes_lib.vhd"  
vcom -explicit  -93 "src/dual_mem.vhd"  
vcom -explicit  -93 "src/tb_pr_dual_mem.vhd"
vcom -explicit  -93 "src/aes_enc.vhd"
vcom -explicit  -93 "src/tb_aes_enc.vhd"

# Sim

vsim -lib work -t 1ps tb_aes_enc

view wave
view source
view structure
view signals
add wave *

mem load -infile mem/s_box.mem -format hex tb_aes_enc/uut/s_box_dual_1
mem load -infile mem/s_box.mem -format hex tb_aes_enc/uut/s_box_dual_2

run 50 us

add wave \
{sim:/tb_aes_enc/uut/key_reg } 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.