OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [latex/] [classserial__receiver_1_1_behavioral.tex] - Rev 40

Compare with Previous | Blame | View Log

\section{Behavioral Architecture Reference}
\label{classserial__receiver_1_1_behavioral}\index{Behavioral@{Behavioral}}
 
 
Serial receiver {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.  
 
 
\\*
\\*
\subsection*{Processes}
 \begin{DoxyCompactItemize}
\item 
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-3}{\bfseries  ( {\bfseries {\bfseries {\bf rst}}   ,{\bfseries {\bf baud\-Over\-Sample\-Clk}}  ,{\bfseries {\bf serial\-\_\-in}}  ,current\-\_\-s } )}\label{classserial__receiver_1_1_behavioral_ab9de8543a5b6d39ef26ef4722d7af8b5}
 
\item 
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-4}{\bfseries  ( {\bfseries sync\-Detected  ,{\bfseries {\bf baud\-Over\-Sample\-Clk}}  ,{\bfseries {\bf serial\-\_\-in}}  } )}\label{classserial__receiver_1_1_behavioral_ab80aa4317a12ca6b2f816cf0ab4352fe}
 
\end{DoxyCompactItemize}
\subsection*{Signals}
 \begin{DoxyCompactItemize}
\item 
{\bf current\-\_\-s} {\bfseries rx\-States } \label{classserial__receiver_1_1_behavioral_a070c32b335fb0b7c36d9d167d6f9429e}
 
\item 
{\bf filter\-Rx} {\bfseries rx\-Filter\-States } \label{classserial__receiver_1_1_behavioral_a66cdf7073092ee7f8ea6be2489d6275c}
 
\item 
{\bf sync\-Detected} {\bfseries std\-\_\-logic } \label{classserial__receiver_1_1_behavioral_a6d527d68c49db3e73c2339ce66d8c067}
 
\end{DoxyCompactItemize}
 
 
\subsection{Detailed Description}
Serial receiver {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}. 
 
Implement block that create a byte from the serial stream of data. 
 
Definition at line 20 of file serial\-\_\-receiver.\-vhd.
 
 
 
The documentation for this class was generated from the following file\-:\begin{DoxyCompactItemize}
\item 
E\-:/uart\-\_\-block/hdl/ise\-Project/{\bf serial\-\_\-receiver.\-vhd}\end{DoxyCompactItemize}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.