OpenCores
URL https://opencores.org/ocsvn/udp_ipv4_for_10g_ethernet/udp_ipv4_for_10g_ethernet/trunk

Subversion Repositories udp_ipv4_for_10g_ethernet

[/] [udp_ipv4_for_10g_ethernet/] [trunk/] [component.xml] - Rev 3

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<spirit:component xmlns:xilinx="http://www.xilinx.com"
                xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
                xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
        <spirit:vendor>dfcdesign.cz</spirit:vendor>
        <spirit:library>dfc</spirit:library>
        <spirit:name>udp_ip_10g</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:busInterfaces>
                <spirit:busInterface>
                        <spirit:name>RST</spirit:name>
                        <spirit:busType spirit:vendor="xilinx.com"
                                        spirit:library="signal"
                                        spirit:name="reset"
                                        spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="xilinx.com"
                                        spirit:library="signal"
                                        spirit:name="reset_rtl"
                                        spirit:version="1.0"/>
                        <spirit:slave/>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>RST</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>RST</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>CLK</spirit:name>
                        <spirit:busType spirit:vendor="xilinx.com"
                                        spirit:library="signal"
                                        spirit:name="clock"
                                        spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="xilinx.com"
                                        spirit:library="signal"
                                        spirit:name="clock_rtl"
                                        spirit:version="1.0"/>
                        <spirit:slave/>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>CLK</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>CLK</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:parameters>
                                <spirit:parameter>
                                        <spirit:name>ASSOCIATED_RESET</spirit:name>
                                        <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_RESET">RST</spirit:value>
                                </spirit:parameter>
                                <spirit:parameter>
                                        <spirit:name>ASSOCIATED_BUSIF</spirit:name>
                                        <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_BUSIF">xgmii</spirit:value>
                                </spirit:parameter>
                        </spirit:parameters>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>xgmii</spirit:name>
                        <spirit:busType spirit:vendor="xilinx.com"
                                        spirit:library="interface"
                                        spirit:name="xgmii"
                                        spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="xilinx.com"
                                        spirit:library="interface"
                                        spirit:name="xgmii_rtl"
                                        spirit:version="1.0"/>
                        <spirit:master/>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>TXD</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>XGMII_TXD</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>RXC</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>XGMII_RXC</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>TXC</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>XGMII_TXC</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>RXD</spirit:name>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>XGMII_RXD</spirit:name>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                </spirit:busInterface>
        </spirit:busInterfaces>
        <spirit:model>
                <spirit:views>
                        <spirit:view>
                                <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
                                <spirit:displayName>Synthesis</spirit:displayName>
                                <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
                                <spirit:language>VHDL</spirit:language>
                                <spirit:modelName>udp_ip_10g</spirit:modelName>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_fifo_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_cdc_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_pkg_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_srl_fifo_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:parameters>
                                        <spirit:parameter>
                                                <spirit:name>viewChecksum</spirit:name>
                                                <spirit:value>1a377e3e</spirit:value>
                                        </spirit:parameter>
                                </spirit:parameters>
                        </spirit:view>
                        <spirit:view>
                                <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
                                <spirit:displayName>Simulation</spirit:displayName>
                                <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
                                <spirit:language>VHDL</spirit:language>
                                <spirit:modelName>udp_ip_10g</spirit:modelName>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_fifo_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_cdc_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_pkg_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_srl_fifo_1_0__ref_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:parameters>
                                        <spirit:parameter>
                                                <spirit:name>viewChecksum</spirit:name>
                                                <spirit:value>1a377e3e</spirit:value>
                                        </spirit:parameter>
                                </spirit:parameters>
                        </spirit:view>
                        <spirit:view>
                                <spirit:name>xilinx_xpgui</spirit:name>
                                <spirit:displayName>UI Layout</spirit:displayName>
                                <spirit:envIdentifier>:vivado.xilinx.com:xgui.ui</spirit:envIdentifier>
                                <spirit:fileSetRef>
                                        <spirit:localName>xilinx_xpgui_view_fileset</spirit:localName>
                                </spirit:fileSetRef>
                                <spirit:parameters>
                                        <spirit:parameter>
                                                <spirit:name>viewChecksum</spirit:name>
                                                <spirit:value>8516bdaa</spirit:value>
                                        </spirit:parameter>
                                </spirit:parameters>
                        </spirit:view>
                </spirit:views>
                <spirit:ports>
                        <spirit:port>
                                <spirit:name>RST</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>CLK</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>LINK_SPEED</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">2</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>HOST_MAC</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">47</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>HOST_IP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">31</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>HOST_IP_NETMASK</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">31</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_DST_MAC</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">47</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_DST_IP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">31</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_SRC_UDP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">15</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_DST_UDP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">15</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_FRAME_VALID</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_FRAME_RDY</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_FRAME_LAST</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_FRAME_BE</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">7</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>TX_FRAME_DATA</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">63</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_SRC_MAC</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">47</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_SRC_IP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">31</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_SRC_UDP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">15</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_DST_UDP</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">15</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_VALID</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_RDY</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_LAST</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_BE</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">7</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_DATA</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">63</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>RX_FRAME_LENGTH</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">15</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>XGMII_TXC</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">7</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>XGMII_TXD</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">63</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>XGMII_RXC</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">7</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                        <spirit:driver>
                                                <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>XGMII_RXD</spirit:name>
                                <spirit:wire>
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left spirit:format="long">63</spirit:left>
                                                <spirit:right spirit:format="long">0</spirit:right>
                                        </spirit:vector>
                                        <spirit:wireTypeDefs>
                                                <spirit:wireTypeDef>
                                                        <spirit:typeName>std_logic_vector</spirit:typeName>
                                                        <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
                                                        <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
                                                </spirit:wireTypeDef>
                                        </spirit:wireTypeDefs>
                                        <spirit:driver>
                                                <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                        </spirit:port>
                </spirit:ports>
                <spirit:modelParameters>
                        <spirit:modelParameter xsi:type="spirit:nameValueTypeType"
                                        spirit:dataType="integer">
                                <spirit:name>g_tx_dfifo_depth</spirit:name>
                                <spirit:displayName>G Tx Dfifo Depth</spirit:displayName>
                                <spirit:value spirit:format="long"
                                                spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_tx_dfifo_depth">2048</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer">
                                <spirit:name>g_tx_tfifo_depth</spirit:name>
                                <spirit:displayName>G Tx Tfifo Depth</spirit:displayName>
                                <spirit:value spirit:format="long"
                                                spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_tx_tfifo_depth">128</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer">
                                <spirit:name>g_rx_dfifo_depth</spirit:name>
                                <spirit:displayName>G Rx Dfifo Depth</spirit:displayName>
                                <spirit:value spirit:format="long"
                                                spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_rx_dfifo_depth">2048</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer">
                                <spirit:name>g_rx_tfifo_depth</spirit:name>
                                <spirit:displayName>G Rx Tfifo Depth</spirit:displayName>
                                <spirit:value spirit:format="long"
                                                spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_rx_tfifo_depth">128</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="string">
                                <spirit:name>g_tx_dfifo_type</spirit:name>
                                <spirit:displayName>G Tx Dfifo Type</spirit:displayName>
                                <spirit:value spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_tx_dfifo_type">block</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="string">
                                <spirit:name>g_tx_tfifo_type</spirit:name>
                                <spirit:displayName>G Tx Tfifo Type</spirit:displayName>
                                <spirit:value spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_tx_tfifo_type">block</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="string">
                                <spirit:name>g_rx_dfifo_type</spirit:name>
                                <spirit:displayName>G Rx Dfifo Type</spirit:displayName>
                                <spirit:value spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_rx_dfifo_type">block</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="string">
                                <spirit:name>g_rx_tfifo_type</spirit:name>
                                <spirit:displayName>G Rx Tfifo Type</spirit:displayName>
                                <spirit:value spirit:resolve="generated"
                                                spirit:id="MODELPARAM_VALUE.g_rx_tfifo_type">block</spirit:value>
                        </spirit:modelParameter>
                </spirit:modelParameters>
        </spirit:model>
        <spirit:choices>
                <spirit:choice>
                        <spirit:name>choice_list_91f1634a</spirit:name>
                        <spirit:enumeration>block</spirit:enumeration>
                        <spirit:enumeration>auto</spirit:enumeration>
                        <spirit:enumeration>distributed</spirit:enumeration>
                </spirit:choice>
        </spirit:choices>
        <spirit:fileSets>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
                        <spirit:file>
                                <spirit:name>src/hdl/math_pack.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast64_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast128_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast16_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast8_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast1024_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast24_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast32_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast256_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast512_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen_tab_tree.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen_fsm.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_pkg.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/srl_pkg.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_tx_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_throttle.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_gen_fifo_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_rx_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_process.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_gen.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_receiver.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/udp_ip_10g.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                                <spirit:userFileType>CHECKSUM_08f45ea1</spirit:userFileType>
                        </spirit:file>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_fifo_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_fifo"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_cdc_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_cdc"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_pkg_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_pkg"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagesynthesis_xilinx_com_ip_lib_srl_fifo_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_srl_fifo"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
                        <spirit:file>
                                <spirit:name>src/hdl/math_pack.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast64_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast128_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast16_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast8_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast1024_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast24_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast32_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast256_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast512_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_fast_tab.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen_tab_tree.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen_fsm.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_pkg.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/srl_pkg.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/crc/crc32_gen.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_tx_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_throttle.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_gen_fifo_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_rx_if.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_process.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_gen.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/frame_receiver.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>src/hdl/udp_ip_10g.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                        </spirit:file>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_fifo_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_fifo"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_cdc_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_cdc"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_pkg_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_pkg"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_anylanguagebehavioralsimulation_xilinx_com_ip_lib_srl_fifo_1_0__ref_view_fileset</spirit:name>
                        <spirit:vendorExtensions>
                                <xilinx:subCoreRef>
                                        <xilinx:componentRef xilinx:vendor="xilinx.com"
                                                        xilinx:library="ip"
                                                        xilinx:name="lib_srl_fifo"
                                                        xilinx:version="1.0">
                                                <xilinx:mode xilinx:name="copy_mode"/>
                                        </xilinx:componentRef>
                                </xilinx:subCoreRef>
                        </spirit:vendorExtensions>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>xilinx_xpgui_view_fileset</spirit:name>
                        <spirit:file>
                                <spirit:name>xgui/udp_ip_10g_v1_0.tcl</spirit:name>
                                <spirit:fileType>tclSource</spirit:fileType>
                                <spirit:userFileType>CHECKSUM_a48910cf</spirit:userFileType>
                                <spirit:userFileType>XGUI_VERSION_2</spirit:userFileType>
                        </spirit:file>
                </spirit:fileSet>
        </spirit:fileSets>
        <spirit:description>UDP/IPv4 core for 10 Gbps Ethernet. Six link speeds are supported - 10 Gbps, 5 Gbps, 2.5 Gbps, 1 Gbps, 100 Mbps, 10 Mbps  - (full-duplex only).</spirit:description>
        <spirit:parameters>
                <spirit:parameter>
                        <spirit:name>Component_Name</spirit:name>
                        <spirit:value spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.Component_Name"
                                        spirit:order="1">udp_ip_10g_v1_0</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_tx_dfifo_depth</spirit:name>
                        <spirit:displayName>Tx data FIFO depth</spirit:displayName>
                        <spirit:value spirit:format="long"
                                        spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_tx_dfifo_depth">2048</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_tx_tfifo_depth</spirit:name>
                        <spirit:displayName>Tx tag FIFO depth</spirit:displayName>
                        <spirit:value spirit:format="long"
                                        spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_tx_tfifo_depth">128</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_rx_dfifo_depth</spirit:name>
                        <spirit:displayName>Rx data FIFO depth</spirit:displayName>
                        <spirit:value spirit:format="long"
                                        spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_rx_dfifo_depth">2048</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_rx_tfifo_depth</spirit:name>
                        <spirit:displayName>Rx tag FIFO depth</spirit:displayName>
                        <spirit:value spirit:format="long"
                                        spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_rx_tfifo_depth">128</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_tx_dfifo_type</spirit:name>
                        <spirit:displayName>Memory type for TX data FIFO</spirit:displayName>
                        <spirit:value spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_tx_dfifo_type"
                                        spirit:choiceRef="choice_list_91f1634a">block</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_tx_tfifo_type</spirit:name>
                        <spirit:displayName>Memory type for TX tag FIFO</spirit:displayName>
                        <spirit:value spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_tx_tfifo_type"
                                        spirit:choiceRef="choice_list_91f1634a">block</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_rx_dfifo_type</spirit:name>
                        <spirit:displayName>Memory type for RX data FIFO</spirit:displayName>
                        <spirit:value spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_rx_dfifo_type"
                                        spirit:choiceRef="choice_list_91f1634a">block</spirit:value>
                </spirit:parameter>
                <spirit:parameter>
                        <spirit:name>g_rx_tfifo_type</spirit:name>
                        <spirit:displayName>Memory type for RX tag FIFO</spirit:displayName>
                        <spirit:value spirit:resolve="user"
                                        spirit:id="PARAM_VALUE.g_rx_tfifo_type"
                                        spirit:choiceRef="choice_list_91f1634a">block</spirit:value>
                </spirit:parameter>
        </spirit:parameters>
        <spirit:vendorExtensions>
                <xilinx:coreExtensions>
                        <xilinx:supportedFamilies>
                                <xilinx:family xilinx:lifeCycle="Production">kintex7</xilinx:family>
                        </xilinx:supportedFamilies>
                        <xilinx:taxonomies>
                                <xilinx:taxonomy>/UserIP</xilinx:taxonomy>
                        </xilinx:taxonomies>
                        <xilinx:displayName>UDP/IP</xilinx:displayName>
                        <xilinx:definitionSource>package_project</xilinx:definitionSource>
                        <xilinx:xpmLibraries>
                                <xilinx:xpmLibrary>XPM_CDC</xilinx:xpmLibrary>
                                <xilinx:xpmLibrary>XPM_MEMORY</xilinx:xpmLibrary>
                        </xilinx:xpmLibraries>
                        <xilinx:vendorDisplayName>DFC Design, s.r.o.</xilinx:vendorDisplayName>
                        <xilinx:vendorURL>http://www.dfcdesign.cz</xilinx:vendorURL>
                        <xilinx:coreRevision>3</xilinx:coreRevision>
                        <xilinx:coreCreationDateTime>2017-04-18T09:56:50Z</xilinx:coreCreationDateTime>
                        <xilinx:tags>
                                <xilinx:tag xilinx:name="xilinx.com:user:udp_ip_10g:1.0_ARCHIVE_LOCATION">c:/projects/dfc/xenie/ip_repo_dev/udp_ip_10g/trunk</xilinx:tag>
                                <xilinx:tag xilinx:name="dfcdesign.cz:user:udp_ip_10g:1.0_ARCHIVE_LOCATION">c:/projects/dfc/xenie/ip_repo_dev/udp_ip_10g/trunk</xilinx:tag>
                                <xilinx:tag xilinx:name="dfcdesign.cz:dfc:udp_ip_10g:1.0_ARCHIVE_LOCATION">c:/projects/dfc/xenie/ip_repo_dev/udp_ip_10g/trunk</xilinx:tag>
                        </xilinx:tags>
                </xilinx:coreExtensions>
                <xilinx:packagingInfo>
                        <xilinx:xilinxVersion>2016.4</xilinx:xilinxVersion>
                        <xilinx:checksum xilinx:scope="busInterfaces"
                                        xilinx:value="f9e4054d"/>
                        <xilinx:checksum xilinx:scope="fileGroups"
                                        xilinx:value="a1e708f3"/>
                        <xilinx:checksum xilinx:scope="ports"
                                        xilinx:value="930b2e64"/>
                        <xilinx:checksum xilinx:scope="hdlParameters"
                                        xilinx:value="629d0888"/>
                        <xilinx:checksum xilinx:scope="parameters"
                                        xilinx:value="d6ef4370"/>
                </xilinx:packagingInfo>
        </spirit:vendorExtensions>
</spirit:component>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.