OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [packet_gen/] [vhdl/] [packet_gen_ttb_str.vhd] - Rev 19

Compare with Previous | Blame | View Log

-------------------------------------------------------------------------------
--             Copyright -----------------------------------
--                        All Rights Reserved
-------------------------------------------------------------------------------
-- $Author:  $
--
-- $date:  $
--
-- $Id:  $
--
-- $Source:  $
--
-- Description :
--          This file was generated by TTB Gen Plus Beta 2.0
--            on 01 May 2011 21:23:14
------------------------------------------------------------------------------
-- This software contains concepts confidential to ----------------
-- ---------. and is only made available within the terms of a written
-- agreement.
-------------------------------------------------------------------------------
-- Revision History:
-- $Log:  $
--
-------------------------------------------------------------------------------
 
 
architecture struct of packet_gen_ttb is
 
component packet_gen
  port (
        packet_out : out     pack_out;
        request    : in      std_logic;
        fname      : in      stm_text;
        stm_in     : in      stm_sctl;
        stm_out    : out     stm_sack
       );
end component;
 
component packet_gen_tb
  generic (
           stimulus_file: in string
          );
  port (
        packet_out : in      pack_out;
        request    : buffer  std_logic;
        fname      : buffer  stm_text;
        stm_in     : buffer  stm_sctl;
        stm_out    : in      stm_sack
       );
end component;
 
--for all: packet_gen    use entity dut_lib.packet_gen(str);
for all: packet_gen_tb    use entity work.packet_gen_tb(bhv);
 
  signal temp_packet_out : pack_out;
  signal temp_request    : std_logic;
  signal temp_file_name  : stm_text;
  signal temp_stm_in     : stm_sctl;
  signal temp_stm_out    : stm_sack;
 
begin
 
dut: packet_gen
  port map(
           packet_out =>  temp_packet_out,
           request    =>  temp_request,
           fname      =>  temp_file_name,
           stm_in     =>  temp_stm_in,
           stm_out    =>  temp_stm_out
          );
 
tb: packet_gen_tb
  generic map(
               stimulus_file => stimulus_file
             )
  port map(
           packet_out =>  temp_packet_out,
           request    =>  temp_request,
           fname      =>  temp_file_name,
           stm_in     =>  temp_stm_in,
           stm_out    =>  temp_stm_out
          );
 
end struct;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.