OpenCores
URL https://opencores.org/ocsvn/wb_lcd/wb_lcd/trunk

Subversion Repositories wb_lcd

[/] [wb_lcd/] [trunk/] [myhdl/] [wb_lcd_workspace_ramless/] [boards/] [s3esk-wb_lcd/] [rtl/] [glbl.v] - Rev 2

Compare with Previous | Blame | View Log

`timescale  1 ns / 1 ps
 
module glbl ();
 
    wire GR;
    wire GSR;
    wire GTS;
    wire PRLD;
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.