OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [isim/] [testbench_isim_beh.exe.sim/] [work/] [a_0903984464_1181938964.c] - Rev 9

Compare with Previous | Blame | View Log

/**********************************************************************/
/*   ____  ____                                                       */
/*  /   /\/   /                                                       */
/* /___/  \  /                                                        */
/* \   \   \/                                                       */
/*  \   \        Copyright (c) 2003-2009 Xilinx, Inc.                */
/*  /   /          All Right Reserved.                                 */
/* /---/   /\                                                         */
/* \   \  /  \                                                      */
/*  \___\/\___\                                                    */
/***********************************************************************/
 
/* This file is designed for use with ISim build 0x7708f090 */
 
#define XSI_HIDE_SYMBOL_SPEC true
#include "xsi.h"
#include <memory.h>
#ifdef __GNUC__
#include <stdlib.h>
#else
#include <malloc.h>
#define alloca _alloca
#endif
static const char *ng0 = "C:/Users/eejlny/projects/xmatch_sim7/xmatch_sim7/src/parser_concatenator.vhd";
extern char *STD_STANDARD;
 
 
 
static void work_a_0903984464_1181938964_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    char *t3;
    unsigned char t4;
    unsigned char t5;
    char *t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    char *t10;
    int t11;
    char *t12;
    char *t13;
    int t14;
    char *t15;
    int t17;
    char *t18;
    int t20;
    char *t21;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
 
LAB0:    xsi_set_current_line(60, ng0);
    t2 = (t0 + 1192U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t5 = (t4 == (unsigned char)1);
    if (t5 == 1)
        goto LAB5;
 
LAB6:    t1 = (unsigned char)0;
 
LAB7:    if (t1 != 0)
        goto LAB2;
 
LAB4:    xsi_set_current_line(69, ng0);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t2 = (t0 + 3816);
    t6 = (t2 + 56U);
    t9 = *((char **)t6);
    t10 = (t9 + 56U);
    t12 = *((char **)t10);
    memcpy(t12, t3, 3U);
    xsi_driver_first_trans_fast_port(t2);
 
LAB3:    t2 = (t0 + 3720);
    *((int *)t2) = 1;
 
LAB1:    return;
LAB2:    xsi_set_current_line(61, ng0);
    t2 = (t0 + 1672U);
    t9 = *((char **)t2);
    t2 = (t0 + 6313);
    t11 = xsi_mem_cmp(t2, t9, 3U);
    if (t11 == 1)
        goto LAB9;
 
LAB14:    t12 = (t0 + 6316);
    t14 = xsi_mem_cmp(t12, t9, 3U);
    if (t14 == 1)
        goto LAB10;
 
LAB15:    t15 = (t0 + 6319);
    t17 = xsi_mem_cmp(t15, t9, 3U);
    if (t17 == 1)
        goto LAB11;
 
LAB16:    t18 = (t0 + 6322);
    t20 = xsi_mem_cmp(t18, t9, 3U);
    if (t20 == 1)
        goto LAB12;
 
LAB17:
LAB13:    xsi_set_current_line(66, ng0);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t2 = (t0 + 3816);
    t6 = (t2 + 56U);
    t9 = *((char **)t6);
    t10 = (t9 + 56U);
    t12 = *((char **)t10);
    memcpy(t12, t3, 3U);
    xsi_driver_first_trans_fast_port(t2);
 
LAB8:    goto LAB3;
 
LAB5:    t2 = (t0 + 1352U);
    t6 = *((char **)t2);
    t7 = *((unsigned char *)t6);
    t8 = (t7 == (unsigned char)1);
    t1 = t8;
    goto LAB7;
 
LAB9:    xsi_set_current_line(62, ng0);
    t21 = (t0 + 6325);
    t23 = (t0 + 3816);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t21, 3U);
    xsi_driver_first_trans_fast_port(t23);
    goto LAB8;
 
LAB10:    xsi_set_current_line(63, ng0);
    t2 = (t0 + 6328);
    t6 = (t0 + 3816);
    t9 = (t6 + 56U);
    t10 = *((char **)t9);
    t12 = (t10 + 56U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 3U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB8;
 
LAB11:    xsi_set_current_line(64, ng0);
    t2 = (t0 + 6331);
    t6 = (t0 + 3816);
    t9 = (t6 + 56U);
    t10 = *((char **)t9);
    t12 = (t10 + 56U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 3U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB8;
 
LAB12:    xsi_set_current_line(65, ng0);
    t2 = (t0 + 6334);
    t6 = (t0 + 3816);
    t9 = (t6 + 56U);
    t10 = *((char **)t9);
    t12 = (t10 + 56U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 3U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB8;
 
LAB18:;
}
 
static void work_a_0903984464_1181938964_p_1(char *t0)
{
    char t18[16];
    char t21[16];
    char t34[16];
    char t35[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    char *t5;
    char *t6;
    int t7;
    char *t8;
    char *t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t19;
    char *t20;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    unsigned char t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    unsigned int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t36;
 
LAB0:    xsi_set_current_line(79, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t1 = (t0 + 6337);
    t4 = xsi_mem_cmp(t1, t2, 3U);
    if (t4 == 1)
        goto LAB3;
 
LAB8:    t5 = (t0 + 6340);
    t7 = xsi_mem_cmp(t5, t2, 3U);
    if (t7 == 1)
        goto LAB4;
 
LAB9:    t8 = (t0 + 6343);
    t10 = xsi_mem_cmp(t8, t2, 3U);
    if (t10 == 1)
        goto LAB5;
 
LAB10:    t11 = (t0 + 6346);
    t13 = xsi_mem_cmp(t11, t2, 3U);
    if (t13 == 1)
        goto LAB6;
 
LAB11:
LAB7:    xsi_set_current_line(84, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t25 = (55 - 55);
    t31 = (t25 * 1U);
    t32 = (0 + t31);
    t1 = (t2 + t32);
    t3 = (t0 + 3880);
    t5 = (t3 + 56U);
    t6 = *((char **)t5);
    t8 = (t6 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 56U);
    xsi_driver_first_trans_delta(t3, 0U, 56U, 0LL);
 
LAB2:    t1 = (t0 + 3736);
    *((int *)t1) = 1;
 
LAB1:    return;
LAB3:    xsi_set_current_line(80, ng0);
    t14 = (t0 + 1032U);
    t15 = *((char **)t14);
    t14 = (t0 + 6349);
    t19 = ((STD_STANDARD) + 1112);
    t20 = (t0 + 6132U);
    t22 = (t21 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = 0;
    t23 = (t22 + 4U);
    *((int *)t23) = 23;
    t23 = (t22 + 8U);
    *((int *)t23) = 1;
    t24 = (23 - 0);
    t25 = (t24 * 1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t17 = xsi_base_array_concat(t17, t18, t19, (char)97, t15, t20, (char)97, t14, t21, (char)101);
    t25 = (32U + 24U);
    t26 = (56U != t25);
    if (t26 == 1)
        goto LAB13;
 
LAB14:    t23 = (t0 + 3880);
    t27 = (t23 + 56U);
    t28 = *((char **)t27);
    t29 = (t28 + 56U);
    t30 = *((char **)t29);
    memcpy(t30, t17, 56U);
    xsi_driver_first_trans_delta(t23, 0U, 56U, 0LL);
    goto LAB2;
 
LAB4:    xsi_set_current_line(81, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t25 = (55 - 55);
    t31 = (t25 * 1U);
    t32 = (0 + t31);
    t1 = (t2 + t32);
    t3 = (t0 + 1032U);
    t5 = *((char **)t3);
    t6 = ((STD_STANDARD) + 1112);
    t8 = (t21 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 55;
    t9 = (t8 + 4U);
    *((int *)t9) = 48;
    t9 = (t8 + 8U);
    *((int *)t9) = -1;
    t4 = (48 - 55);
    t33 = (t4 * -1);
    t33 = (t33 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t33;
    t9 = (t0 + 6132U);
    t3 = xsi_base_array_concat(t3, t18, t6, (char)97, t1, t21, (char)97, t5, t9, (char)101);
    t11 = (t0 + 6373);
    t15 = ((STD_STANDARD) + 1112);
    t16 = (t35 + 0U);
    t17 = (t16 + 0U);
    *((int *)t17) = 0;
    t17 = (t16 + 4U);
    *((int *)t17) = 15;
    t17 = (t16 + 8U);
    *((int *)t17) = 1;
    t7 = (15 - 0);
    t33 = (t7 * 1);
    t33 = (t33 + 1);
    t17 = (t16 + 12U);
    *((unsigned int *)t17) = t33;
    t14 = xsi_base_array_concat(t14, t34, t15, (char)97, t3, t18, (char)97, t11, t35, (char)101);
    t33 = (8U + 32U);
    t36 = (t33 + 16U);
    t26 = (56U != t36);
    if (t26 == 1)
        goto LAB15;
 
LAB16:    t17 = (t0 + 3880);
    t19 = (t17 + 56U);
    t20 = *((char **)t19);
    t22 = (t20 + 56U);
    t23 = *((char **)t22);
    memcpy(t23, t14, 56U);
    xsi_driver_first_trans_delta(t17, 0U, 56U, 0LL);
    goto LAB2;
 
LAB5:    xsi_set_current_line(82, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t25 = (55 - 55);
    t31 = (t25 * 1U);
    t32 = (0 + t31);
    t1 = (t2 + t32);
    t3 = (t0 + 1032U);
    t5 = *((char **)t3);
    t6 = ((STD_STANDARD) + 1112);
    t8 = (t21 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 55;
    t9 = (t8 + 4U);
    *((int *)t9) = 40;
    t9 = (t8 + 8U);
    *((int *)t9) = -1;
    t4 = (40 - 55);
    t33 = (t4 * -1);
    t33 = (t33 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t33;
    t9 = (t0 + 6132U);
    t3 = xsi_base_array_concat(t3, t18, t6, (char)97, t1, t21, (char)97, t5, t9, (char)101);
    t11 = (t0 + 6389);
    t15 = ((STD_STANDARD) + 1112);
    t16 = (t35 + 0U);
    t17 = (t16 + 0U);
    *((int *)t17) = 0;
    t17 = (t16 + 4U);
    *((int *)t17) = 7;
    t17 = (t16 + 8U);
    *((int *)t17) = 1;
    t7 = (7 - 0);
    t33 = (t7 * 1);
    t33 = (t33 + 1);
    t17 = (t16 + 12U);
    *((unsigned int *)t17) = t33;
    t14 = xsi_base_array_concat(t14, t34, t15, (char)97, t3, t18, (char)97, t11, t35, (char)101);
    t33 = (16U + 32U);
    t36 = (t33 + 8U);
    t26 = (56U != t36);
    if (t26 == 1)
        goto LAB17;
 
LAB18:    t17 = (t0 + 3880);
    t19 = (t17 + 56U);
    t20 = *((char **)t19);
    t22 = (t20 + 56U);
    t23 = *((char **)t22);
    memcpy(t23, t14, 56U);
    xsi_driver_first_trans_delta(t17, 0U, 56U, 0LL);
    goto LAB2;
 
LAB6:    xsi_set_current_line(83, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t25 = (55 - 55);
    t31 = (t25 * 1U);
    t32 = (0 + t31);
    t1 = (t2 + t32);
    t3 = (t0 + 1032U);
    t5 = *((char **)t3);
    t6 = ((STD_STANDARD) + 1112);
    t8 = (t21 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 55;
    t9 = (t8 + 4U);
    *((int *)t9) = 32;
    t9 = (t8 + 8U);
    *((int *)t9) = -1;
    t4 = (32 - 55);
    t33 = (t4 * -1);
    t33 = (t33 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t33;
    t9 = (t0 + 6132U);
    t3 = xsi_base_array_concat(t3, t18, t6, (char)97, t1, t21, (char)97, t5, t9, (char)101);
    t33 = (24U + 32U);
    t26 = (56U != t33);
    if (t26 == 1)
        goto LAB19;
 
LAB20:    t11 = (t0 + 3880);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t3, 56U);
    xsi_driver_first_trans_delta(t11, 0U, 56U, 0LL);
    goto LAB2;
 
LAB12:;
LAB13:    xsi_size_not_matching(56U, t25, 0);
    goto LAB14;
 
LAB15:    xsi_size_not_matching(56U, t36, 0);
    goto LAB16;
 
LAB17:    xsi_size_not_matching(56U, t36, 0);
    goto LAB18;
 
LAB19:    xsi_size_not_matching(56U, t33, 0);
    goto LAB20;
 
}
 
 
extern void work_a_0903984464_1181938964_init()
{
	static char *pe[] = {(void *)work_a_0903984464_1181938964_p_0,(void *)work_a_0903984464_1181938964_p_1};
	xsi_register_didat("work_a_0903984464_1181938964", "isim/testbench_isim_beh.exe.sim/work/a_0903984464_1181938964.didat");
	xsi_register_executes(pe);
}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.