OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] - Rev 14

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 14 2013-09-28 19:35:04 GMT
  • Author: daniel.kho
  • Log message:
    Added simple reset logic and verified on hardware. Added PLL to supply test clock to SignalTap.
Path Last modification Log RSS feed
[FOLDER] axi4_tlm_bfm/ 14  3900d 08h daniel.kho View Log RSS feed
[NODE][FOLDER] branches/ 1  4024d 08h root View Log RSS feed
[NODE][FOLDER] tags/ 1  4024d 08h root View Log RSS feed
[NODE][FOLDER] trunk/ 14  3900d 08h daniel.kho View Log RSS feed
[NODE][NODE][FOLDER] rtl/ 14  3900d 08h daniel.kho View Log RSS feed
[NODE][NODE][NODE][FOLDER] packages/ 12  3909d 17h daniel.kho View Log RSS feed
[NODE][NODE][NODE][FOLDER] quartus-synthesis/ 14  3900d 08h daniel.kho View Log RSS feed
[NODE][NODE][NODE][FILE] axi4-stream-bfm-master.vhdl 13  3900d 13h daniel.kho View Log RSS feed
[NODE][NODE][NODE][FILE] user.vhdl 13  3900d 13h daniel.kho View Log RSS feed
[NODE][NODE][FOLDER] tester/ 13  3900d 13h daniel.kho View Log RSS feed
[NODE][NODE][FOLDER] workspace/ 14  3900d 08h daniel.kho View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.