OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] - Rev 58

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 58 2015-07-05 14:40:25 GMT
  • Author: skordal
  • Log message:
    Merge branch new-privileged-isa (r48-r57) into trunk

    This adds support for the newly published supervisor extensions
    version 1.7. In addition, a processor datasheet has been added
    and the timer_clk signal has been properly connected in the
    example design and the SoC testbench.
Path Last modification Log RSS feed
[FOLDER] potato/ 58  3260d 13h skordal View Log RSS feed
[NODE][FOLDER] branches/ 57  3260d 13h skordal View Log RSS feed
[NODE][FOLDER] tags/ 47  3289d 14h skordal View Log RSS feed
[NODE][FOLDER] trunk/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] benchmarks/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] docs/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] example/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] imem_wrapper.vhd 12  3322d 14h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] nexys4_constraints.xdc 12  3322d 14h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] README 21  3309d 06h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] tb_imem_wrapper.vhd 12  3322d 14h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] tb_toplevel.vhd 12  3322d 14h skordal View Log RSS feed
[NODE][NODE][NODE][FILE] toplevel.vhd 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] riscv-tests/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] scripts/ 3  3336d 16h skordal View Log RSS feed
[NODE][NODE][FOLDER] soc/ 7  3334d 10h skordal View Log RSS feed
[NODE][NODE][FOLDER] src/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] testbenches/ 58  3260d 13h skordal View Log RSS feed
[NODE][NODE][FOLDER] tests/ 58  3260d 13h skordal View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.