OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] - Rev 13

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 13 2009-10-02 20:51:51 GMT
  • Author: lynn0p
  • Log message:
    Updated the top level testbench to reflect the fact that you need an
    external DCM to run the controller with now.
Path Last modification Log RSS feed
[FOLDER] sdram_controller/ 13  5333d 10h lynn0p View Log RSS feed
[NODE][FOLDER] branches/ 1  5354d 11h root View Log RSS feed
[NODE][FOLDER] tags/ 1  5354d 11h root View Log RSS feed
[NODE][FOLDER] trunk/ 13  5333d 10h lynn0p View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.