OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] - Rev 8

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 8 2009-09-21 04:56:45 GMT
  • Author: lynn0p
  • Log message:
    Changes made to integrate and test with my homebrew SoC design.

    1. One DCM has been removed. Now requires a 100mhz clock fed in. Only
    consumes one DCM, if you can find a 100mhz clock somewhere.
    2. Small timing modifications to fix memory glitches between controller
    and the t80 soft cpu I'm using.
Path Last modification Log RSS feed
[FOLDER] sdram_controller/ 8  5345d 00h lynn0p View Log RSS feed
[NODE][FOLDER] branches/ 1  5354d 09h root View Log RSS feed
[NODE][FOLDER] tags/ 1  5354d 09h root View Log RSS feed
[NODE][FOLDER] trunk/ 8  5345d 00h lynn0p View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.