OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] - Rev 10

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 10 2011-11-23 21:11:00 GMT
  • Author: smuller
  • Log message:
    VHDL version: corrected problems in the UART modules that prevented it to operate with 1 stop bit with high data rate.
Path Last modification Log RSS feed
[FOLDER] uart2bus/ 10  4567d 12h smuller View Log RSS feed
[NODE][FOLDER] branches/ 1  5216d 14h root View Log RSS feed
[NODE][FOLDER] tags/ 1  5216d 14h root View Log RSS feed
[NODE][FOLDER] trunk/ 10  4567d 12h smuller View Log RSS feed
[NODE][NODE][FOLDER] doc/ 8  4790d 03h motilito View Log RSS feed
[NODE][NODE][FOLDER] scilab/ 7  4811d 12h motilito View Log RSS feed
[NODE][NODE][FOLDER] verilog/ 9  4568d 23h motilito View Log RSS feed
[NODE][NODE][FOLDER] vhdl/ 10  4567d 12h smuller View Log RSS feed
[NODE][NODE][NODE][FOLDER] bench/ 6  5060d 22h smuller View Log RSS feed
[NODE][NODE][NODE][FOLDER] rtl/ 10  4567d 12h smuller View Log RSS feed
[NODE][NODE][NODE][FOLDER] sim/ 6  5060d 22h smuller View Log RSS feed
[NODE][NODE][NODE][FOLDER] syn/ 6  5060d 22h smuller View Log RSS feed
[NODE][NODE][NODE][FILE] test.bin 6  5060d 22h smuller View Log RSS feed
[NODE][NODE][NODE][DB-FILE] test.txt 6  5060d 22h smuller View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.