OpenCores
URL https://opencores.org/ocsvn/virtex7_pcie_dma/virtex7_pcie_dma/trunk

Subversion Repositories virtex7_pcie_dma

[/] [virtex7_pcie_dma/] - Rev 46

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 Added sys_clk constraint fransschreuder 3088d 18h /virtex7_pcie_dma/
25 Added scripts and constraints for KCU105 fransschreuder 3088d 18h /virtex7_pcie_dma/
24 Added:
* Support for KCU105 board in code
TODO
* Add constraints and build scripts for KCU105
fransschreuder 3089d 11h /virtex7_pcie_dma/
23 Fixed reset of application registers fransschreuder 3146d 17h /virtex7_pcie_dma/
22 Added dma_soft_reset to trigger register resets fransschreuder 3152d 17h /virtex7_pcie_dma/
21 Fixed BUG http://opencores.org/bug,view,2562 fransschreuder 3161d 14h /virtex7_pcie_dma/
20 Fixed:
* Missing packets if the fifo goes empty during a TLP
* Dynamically change the empty threshold of the main fifo to TLP size
fransschreuder 3175d 13h /virtex7_pcie_dma/
19 * driver/README updated oussamak 3181d 15h /virtex7_pcie_dma/
18 Changed:
* Added drivers
* Added Wupper tools for debugging
* Added card ID register
oussamak 3181d 16h /virtex7_pcie_dma/
17 Changed name of toplevel, to make tree consistent oussamak 3195d 19h /virtex7_pcie_dma/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.