OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] - Rev 63

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
43 minor changes budinero 5413d 06h /
42 minor changes budinero 5413d 06h /
41 minor changes budinero 5413d 06h /
40 minor changes budinero 5413d 06h /
39 Added a read flag for each channel and adc_clk_I input budinero 5413d 06h /
38 updated control budinero 5413d 06h /
37 updated control budinero 5413d 06h /
36 added test benches budinero 5427d 18h /
35 new architecture budinero 5427d 18h /
34 name changes budinero 5427d 20h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.