OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [change.log] - Rev 48

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
48 ProNoC V2.0.0 alirezamonemi 954d 11h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
47 alirezamonemi 1764d 07h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
46 fix mpsoc generate bugs alirezamonemi 1764d 15h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
45 ProNoC V 1.9.1 alirezamonemi 1768d 17h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
43 ProNoC V.1.9.0 alirezamonemi 1843d 18h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
42 ProNoC 1.8.2 alirezamonemi 1992d 05h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
41 ProNoC V:1.8.1 alirezamonemi 2127d 18h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
38 ProNoC V:1.8.0 alirezamonemi 2202d 11h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
34 v.1.7.0 alirezamonemi 2506d 15h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
32 add simulator alirezamonemi 2605d 15h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
31 fix wishbone alirezamonemi 2622d 18h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
28 v.1.5.1 alirezamonemi 2669d 14h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log
25 update to new version (1.5) alirezamonemi 2699d 19h /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/change.log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.