OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk] - Rev 14

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
14 A brief explanation of the testbench was added jstefanowicz 2324d 20h /artificial_neural_network/trunk
13 Corrected bug on instantiation of shift-register with parallel unload ("parallelizer") ojosynariz 2587d 15h /artificial_neural_network/trunk
12 Fixed an error in Matlab scripts jstefanowicz 2608d 16h /artificial_neural_network/trunk
11 adder_tree component declaration included in adder_tree.vhd to solve the ghdl compiler error jstefanowicz 2618d 18h /artificial_neural_network/trunk
10 Reverted the af_sigmoid files for backward compatibility, and created the af_sigmoid_mat.vhd file based on af_sigmoid2.vhd from revision 9. This activation function is fully compatible with matlabs tansig function. jstefanowicz 2644d 17h /artificial_neural_network/trunk
9 Changes from rev 7 included jstefanowicz 2650d 20h /artificial_neural_network/trunk
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2650d 21h /artificial_neural_network/trunk
7 ojosynariz 2786d 20h /artificial_neural_network/trunk
6 ojosynariz 2916d 15h /artificial_neural_network/trunk
5 Duplicated ojosynariz 2916d 16h /artificial_neural_network/trunk
4 Duplicated ojosynariz 2916d 16h /artificial_neural_network/trunk
3 import documentation and Vivado's wrapper ojosynariz 2916d 21h /artificial_neural_network/trunk
2 kernel files first import ojosynariz 2916d 21h /artificial_neural_network/trunk
1 The project and the structure was created root 2917d 18h /artificial_neural_network/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.