OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [synth_src/] [main.cpp] - Rev 16

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
16 a temp check in to avoid power cut sumanta.chaudhuri 4414d 14h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
15 removing the modulus divider which takes up a lot of space sumanta.chaudhuri 4414d 16h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
13 a temp check in sumanta.chaudhuri 4426d 11h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
12 this version doesn't synthesize, checking in to do some speculative chnage sumanta.chaudhuri 4446d 09h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
11 this one doesn't synthesize, but the minmax search is added to the code.
for synthesizable version please see previous ones
sumanta.chaudhuri 4448d 18h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
8 this version sythesizes and works 108 tasks/second for the whole program sumanta.chaudhuri 4451d 14h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
7 A temporary version, please checkout the provious version, this does not work on DE2 sumanta.chaudhuri 4462d 17h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp
4 the synphonycc build for connect6 player,
some more tests needed to see if the build works properly
sumanta.chaudhuri 4507d 14h /connect-6/trunk/BUILD_SCC/synth_src/main.cpp

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.