OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_altera_de1/] [index_table.vhd] - Rev 7

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 changed to mit license
added additional curl for mid state
microengineer 2182d 09h /iota_pow_vhdl/trunk/vhdl_altera_de1/index_table.vhd
4 update with major improvements reaching now ~12MH/s microengineer 2211d 05h /iota_pow_vhdl/trunk/vhdl_altera_de1/index_table.vhd
2 altera de1 version of pearl diver microengineer 2219d 07h /index_table.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.