OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [DCT1D.vhd] - Rev 27

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
27 Inserted multiple stage pipeline for final adders to improve greatly performance with expense of area. mikel262 5561d 06h /mdct/trunk/source/DCT1D.vhd
24 New directory structure. root 5569d 00h /mdct/trunk/source/DCT1D.vhd
18 Minor fixes. This release is FPGA proven. mikel262 6596d 03h /mdct/trunk/source/DCT1D.vhd
15 Redesigned. Fully pipelined, always ready for data design mikel262 6618d 01h /mdct/trunk/source/DCT1D.vhd
13 performance improved by 8%, latency reduced to 94 cycles mikel262 6622d 00h /mdct/trunk/source/DCT1D.vhd
10 + moved memory allocation request to where it should be
+ reduced latency to 104 cycles
mikel262 6624d 01h /mdct/trunk/source/DCT1D.vhd
7 documentation update. minor area optimization. mikel262 6626d 23h /mdct/trunk/source/DCT1D.vhd
4 area optimization - 100 slices less mikel262 6628d 01h /mdct/trunk/source/DCT1D.vhd
2 Initial import (Beta Release) mikel262 6628d 13h /mdct/trunk/source/DCT1D.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.