OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [MDCT.VHD] - Rev 27

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
27 Inserted multiple stage pipeline for final adders to improve greatly performance with expense of area. mikel262 5561d 04h /mdct/trunk/source/MDCT.VHD
24 New directory structure. root 5568d 23h /mdct/trunk/source/MDCT.VHD
18 Minor fixes. This release is FPGA proven. mikel262 6596d 01h /mdct/trunk/source/MDCT.VHD
16 Documentation update, minor fixes mikel262 6617d 22h /mdct/trunk/source/MDCT.VHD
15 Redesigned. Fully pipelined, always ready for data design mikel262 6617d 23h /mdct/trunk/source/MDCT.VHD
11 changed ROM memory model to synchronous mikel262 6622d 22h /mdct/trunk/source/MDCT.VHD
2 Initial import (Beta Release) mikel262 6628d 11h /mdct/trunk/source/MDCT.VHD

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.