OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit.vhd] - Rev 50

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
50 Removed test signals budinero 5401d 11h /modular_oscilloscope/trunk/hdl/epp/eppwbn_16bit.vhd
22 Added test signals (will be removed) budinero 5445d 11h /modular_oscilloscope/trunk/hdl/epp/eppwbn_16bit.vhd
19 Created witdth extension (8 epp data witdh to 16 bits) budinero 5528d 01h /modular_oscilloscope/trunk/hdl/epp/eppwbn_16bit.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.