OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [rtl/] [vhdl/] [search_item.vhd] - Rev 22

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 mixed rising_edge / falling_edge logic removed stvhawes 3261d 23h /open_hitter/trunk/rtl/vhdl/search_item.vhd
21 flakey sim bugs (1/10 test 2 fails) stvhawes 3262d 01h /open_hitter/trunk/rtl/vhdl/search_item.vhd
18 search_control is up for simulation (ghdl) stvhawes 3275d 19h /open_hitter/trunk/rtl/vhdl/search_item.vhd
17 persistent bug: search_control_wrapper.vhd:230:21:@36us:(assertion error): search_control_wrapper: test: 3 bad id stvhawes 3281d 06h /open_hitter/trunk/rtl/vhdl/search_item.vhd
14 search_item_wrapper bench debugged stvhawes 3294d 18h /open_hitter/trunk/rtl/vhdl/search_item.vhd
13 test bench for search_item stvhawes 3297d 22h /open_hitter/trunk/rtl/vhdl/search_item.vhd
12 wrapper test for search_item stvhawes 3303d 08h /open_hitter/trunk/rtl/vhdl/search_item.vhd
11 multiplex searh item added stvhawes 3304d 00h /open_hitter/trunk/rtl/vhdl/search_item.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.