OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpComponents/] [unitIcs307Configurator/] [src/] [Ics307Configurator-Rtl-a.vhdl] - Rev 185

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4893d 17h /sdhc-sc-core/trunk/grpComponents/unitIcs307Configurator/src/Ics307Configurator-Rtl-a.vhdl
79 Rs232Tx: added to TbdSd
TimeoutGenerator: written
rkastl 4896d 15h /Ics307Configurator-Rtl-a.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.