OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpGlobal/] [pkgGlobal/] [src/] [Global-p.vhdl] - Rev 185

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4905d 16h /sdhc-sc-core/trunk/grpGlobal/pkgGlobal/src/Global-p.vhdl
170 License rewritten to BSD rkastl 4908d 10h /Global-p.vhdl
164 Headers updated (LGPL, consistent format) rkastl 4908d 10h /Global-p.vhdl
118 EdgeDetector added. rkastl 4908d 14h /Global-p.vhdl
106 Fixes #29: All cards respond, but they do not all work. rkastl 4908d 14h /Global-p.vhdl
79 Rs232Tx: added to TbdSd
TimeoutGenerator: written
rkastl 4908d 14h /Global-p.vhdl
78 Rs232Tx: implemented, but not tested rkastl 4908d 14h /Global-p.vhdl
75 Transfer to SbX, ref #17 rkastl 4908d 14h /Global-p.vhdl
35 SdCmd: Sending CMD0 is correct, refs #19 rkastl 4908d 14h /Global-p.vhdl
24 Wishbone: Build fixed for splitted packages. rkastl 4908d 14h /Global-p.vhdl
23 Wishbone: Package split into a global and a specific one. rkastl 4908d 14h /Global-p.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.