OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdCardSynchronizer/] [src/] [SdCardSynchronizer-Rtl-ea.vhdl] - Rev 185

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4898d 16h /sdhc-sc-core/trunk/grpSd/unitSdCardSynchronizer/src/SdCardSynchronizer-Rtl-ea.vhdl
170 License rewritten to BSD rkastl 4901d 10h /SdCardSynchronizer-Rtl-ea.vhdl
164 Headers updated (LGPL, consistent format) rkastl 4901d 10h /SdCardSynchronizer-Rtl-ea.vhdl
150 Testbed:
+ Simulation made possible
+ Write works
- Sometimes the alignment in the block is not right
rkastl 4901d 11h /SdCardSynchronizer-Rtl-ea.vhdl
136 SDHC:
- SdData refactored to use a single counter
- TestWbMaster added to TbdSd (not functional yet)
rkastl 4901d 11h /SdCardSynchronizer-Rtl-ea.vhdl
121 SdWbSlave inserted into SdTop. SdController does not use it yet. rkastl 4901d 14h /SdCardSynchronizer-Rtl-ea.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.