OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpStrobesClocks/] [unitCounter/] [src/] [Counter-e.vhdl] - Rev 185

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
185 Restructuring as source repository: Moved sources out of src subdirectory. rkastl 4893d 14h /sdhc-sc-core/trunk/grpStrobesClocks/unitCounter/src/Counter-e.vhdl
170 License rewritten to BSD rkastl 4896d 08h /Counter-e.vhdl
165 Only use synchronous high active reset in SDHC-SC-Core. rkastl 4896d 08h /Counter-e.vhdl
164 Headers updated (LGPL, consistent format) rkastl 4896d 08h /Counter-e.vhdl
107 Forgot to add Counter in last commit. rkastl 4896d 12h /Counter-e.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.