OpenCores
URL https://opencores.org/ocsvn/r2000/r2000/trunk

Subversion Repositories r2000

[/] - Rev 26

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 Added old uploaded documents to new repository. root 5571d 06h /
25 Added old uploaded documents to new repository. root 5571d 13h /
24 New directory structure. root 5571d 13h /
23 - when freeze or stall; don't let memory operations
- Modification on the CP0
- The CP0 is deplaced in the WB stage
- The INT, SI event signals are treated asynchronously in the WB stage
- The rCAUSE register is asynchronous now
- The wException signal is asyncronous instantanously
- Add a repeat/continous treatement (not completed yet)

- *** The "INT EXCEPTION NO STALL" work correctly
ameziti 5929d 22h /
22 - Some modifications for testing exception. ameziti 5964d 15h /
21 - Flush must be on all signals in the pipeline. ameziti 5964d 15h /
20 - Modification of CP0 to wait the end of all stalls before to process Exception.
- Set "Exception sign" active until all Stalls are completed.
ameziti 5964d 22h /
19 - Exception signals must be stalled, flushed, stoped or cleared(except reset)
- Look at 14-07-2007
- except the asynchronous event like "external interruption"
ameziti 5965d 04h /
18 - Read/Write of the CP0 register is in the WB stage, but Exception detection begin from the MEM stage. ameziti 5965d 05h /
17 - UnFonctional Modifications: Change the name of the address port of "CP0". ameziti 5965d 05h /
16 - Remove All generable files from the project. ameziti 5965d 12h /
15 - UnFonctional Modifications.
- Change the "CP0" define to "EXCEPTION".
ameziti 5965d 14h /
14 Remove unnecessary files from project. ameziti 5965d 22h /
13 - To simplify the exception traitement: Instruction are executed serialy.
- Exception event must be treated CONCURRENTLY with the other event that stall the pipeline.
ameziti 5965d 22h /
12 To simplify the exception traitement: Instruction are executed serialy. ameziti 5965d 23h /
11 Exception event must be treated CONCURRENTLY with the other event that stall the pipeline. ameziti 5965d 23h /
10 Modification of the CP0. ameziti 5965d 23h /
9 When D-Cache miss, there's no need to stall MEMWB and freeze WB.
The solution is to flush MEMWB only.
ameziti 5965d 23h /
8 Enhancement of the "Controler specification doc". ameziti 5968d 23h /
7 Add Pipeline Controler specification documentation. ameziti 5969d 21h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.