OpenCores
URL https://opencores.org/ocsvn/steppermotordrive/steppermotordrive/trunk

Subversion Repositories steppermotordrive

[/] - Rev 20

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
20 Added old uploaded documents to new repository. root 5565d 08h /
19 Added old uploaded documents to new repository. root 5565d 14h /
18 New directory structure. root 5565d 14h /
17 Repaired some things in response to Frank Fertitta's email on sept. 2005:

Frank,

> > what your intention is here. It appears as though you are
> > just energizing the windings after you stop. If this is so,
> > what's keeping the motor from advancing to the next position
> > to reach "1111"? If the last state sent to the motor was

Yes, this is not the best thing to do. I will update cvs this evening, hopefully.

What it should be doing is to simply leave the outputs in the last state unless provide_static_holding is '0', in which case it should turn all the outputs off.

outputting "1111" will not cause the motor to move, because it will cause the two sets of windings to "arm wrestle" each other, but it's not the best idea because it wastes power aka. heat.

Best,
Steve

> Hello Steve,
>
> I was looking at your code you wrote for controlling a 4 or 6
> wire stepper motor. I see how you have added what could be a
> means for providing holding current but I don't understand
> what your intention is here. It appears as though you are
> just energizing the windings after you stop. If this is so,
> what's keeping the motor from advancing to the next position
> to reach "1111"? If the last state sent to the motor was
> "1010" then you stop at "1111" the motor is going to move. Or
> is your code only going to stop at "1111"? If that's the
> case, then will this limit the resolution of the motor? As I
> see it your code doesn't stop at "1111" unless it just
> happens to stop there when the count is "0".
> Am I missing something?
>
> Thanks for your excellent contributions. I do indeed learn
> from reading your work.
>
> Frank Fertitta
franksdevel 6745d 00h /
16 fixed bug in step-enable-vs clock divider that was hidden by use of code in feedback loop. franksdevel 7347d 01h /
15 static holding force re-implemented franksdevel 7347d 02h /
14 more xilinx intermediate ignores franksdevel 7347d 07h /
13 let's ignore the right things franksdevel 7347d 08h /
12 This commit was manufactured by cvs2svn to create tag 'v1_1_1_1'. 7347d 08h /
11 This commit was generated by cvs2svn to compensate for changes in r10, which
included commits to RCS files with non-trunk default branches.
franksdevel 7347d 08h /
10 Static holding not yet functional franksdevel 7347d 08h /
9 This commit was generated by cvs2svn to compensate for changes in r8, which
included commits to RCS files with non-trunk default branches.
franksdevel 7348d 02h /
8 get the repository up & running... franksdevel 7348d 02h /
7 This commit was generated by cvs2svn to compensate for changes in r6, which
included commits to RCS files with non-trunk default branches.
franksdevel 7390d 02h /
6 get the repository up & running... franksdevel 7390d 02h /
5 This commit was generated by cvs2svn to compensate for changes in r4, which
included commits to RCS files with non-trunk default branches.
franksdevel 7469d 08h /
4 get the repository up & running... franksdevel 7469d 08h /
3 This commit was generated by cvs2svn to compensate for changes in r2, which
included commits to RCS files with non-trunk default branches.
franksdevel 7595d 04h /
2 get the repository up & running... franksdevel 7595d 04h /
1 Standard project directories initialized by cvs2svn. 7595d 04h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.