OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] - Rev 24

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
24 Starting tracing through the component hierarchy initialisation. lcdsgmtr 3167d 02h /
23 Currently moved test bench to 10 bit address.
Created spreadsheet for filling memory with random data.
When testing, memory is apparently not initialised.
lcdsgmtr 3167d 02h /
22 Update on makefile, because some parts are in other files. lcdsgmtr 3167d 02h /
21 Since all BRAM is unified in one component, this testbench is not necessary
anymore.
lcdsgmtr 3167d 02h /
20 Update RAM package to allow for 15-bit address.
Update test bench to use address width parameter.
lcdsgmtr 3167d 02h /
19 Makefile for building memory block testbench. lcdsgmtr 3167d 02h /
18 Ignore work files from GHDL. lcdsgmtr 3167d 02h /
17 Moving the generic block ram component piece by piece to a better
implementation.
lcdsgmtr 3167d 02h /
16 Re-write of memory in function of initial array memory blocks. lcdsgmtr 3167d 02h /
15 Unification of all RAM parts into one interface. lcdsgmtr 3167d 02h /
14 Simple implementation project. lcdsgmtr 3299d 01h /
13 Updated smallest Xilinx configuration. lcdsgmtr 3299d 01h /
12 Update Xilinx configurations. lcdsgmtr 3299d 01h /
11 Successful run of the simulation. Correct results. lcdsgmtr 3299d 03h /
10 Correct build with GHDL. lcdsgmtr 3299d 03h /
9 This makes sure that this GHDL configuration analyses correctly. lcdsgmtr 3299d 03h /
8 Rebuilding the configuration to build the first system using GHDL. lcdsgmtr 3300d 01h /
7 Moved package for initialising memory also to src. lcdsgmtr 3300d 01h /
6 Removed some unnecessary files and directories.
Moved other files to new directories.
lcdsgmtr 3300d 01h /
5 Re-organisation of repository. lcdsgmtr 3301d 03h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.