OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] - Rev 8

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 this version sythesizes and works 108 tasks/second for the whole program sumanta.chaudhuri 4456d 21h /connect-6/
7 A temporary version, please checkout the provious version, this does not work on DE2 sumanta.chaudhuri 4468d 00h /connect-6/
6 added a script for flat synthesis, took out csim from some scripts which takes
a lot of time
sumanta.chaudhuri 4506d 01h /connect-6/
5 checking in files so that users can run a demo before attacking synthesis sumanta.chaudhuri 4510d 23h /connect-6/
4 the synphonycc build for connect6 player,
some more tests needed to see if the build works properly
sumanta.chaudhuri 4512d 20h /connect-6/
3 checking in the connect-6 Solver that runs on PC, this is the mother program
from which hardware is generated. This code can be found in http://risujin.org/connectk/
only addition to the code is teh capability of playing with DE2 board using serial port
sumanta.chaudhuri 4585d 01h /connect-6/
2 checking in the directory structure sumanta.chaudhuri 4585d 01h /connect-6/
1 The project and the structure was created root 4612d 08h /connect-6/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.