OpenCores
URL https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk

Subversion Repositories manchesterwireless

[/] [manchesterwireless/] - Rev 15

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
15 Replaced with more advanced version created by Thiagarajan kingmu 5442d 22h /manchesterwireless/
14 Removed Xilinx warning caused by missing signals in sensitivity list kingmu 5442d 22h /manchesterwireless/
13 Merged rewrite of singleDouble into trunk kingmu 5443d 20h /manchesterwireless/
12 Trivial updates kingmu 5450d 02h /manchesterwireless/
11 This is a perl model which functionally simulates manchester encoding and decoding. thiagu_comp 5451d 12h /manchesterwireless/
10 This folder contains the perl model, which functionally simulates manchester encoding and decoding. This can be used to validate the HDL model. thiagu_comp 5451d 12h /manchesterwireless/
9 Modified next state logic to avoid gated clock on net clock_zero_en_0000 during bitgen process. As a default case the counter enables are de-asserted. thiagu_comp 5454d 13h /manchesterwireless/
8 Removed old singleDouble and added .ucf kingmu 5457d 15h /manchesterwireless/
7 Added new singleDouble files kingmu 5457d 16h /manchesterwireless/
6 Branching trunk to experiment with new singleDouble module kingmu 5457d 16h /manchesterwireless/
5 Tagging 1.0 release kingmu 5458d 01h /manchesterwireless/
4 Updated simulation files to reflect new module names kingmu 5462d 20h /manchesterwireless/
3 Renamed files/modules. Added documentation. kingmu 5462d 21h /manchesterwireless/
2 initial commit kingmu 5463d 21h /manchesterwireless/
1 The project was created and the structure was created root 5470d 12h /manchesterwireless/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.