OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] - Rev 14

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
14 search_item_wrapper bench debugged stvhawes 3294d 16h /open_hitter/
13 test bench for search_item stvhawes 3297d 20h /open_hitter/
12 wrapper test for search_item stvhawes 3303d 06h /open_hitter/
11 multiplex searh item added stvhawes 3303d 23h /open_hitter/
10 split source files to sime and rtl stvhawes 3317d 21h /open_hitter/
9 highlevel block diagram added stvhawes 3318d 18h /open_hitter/
8 sim sequence error fixed, so 20% success -> 100% success for unit test on fpga stvhawes 3318d 20h /open_hitter/
7 split clock/byte_ready and fix logic stvhawes 3323d 14h /open_hitter/
6 fixing synthesizable stvhawes 3324d 22h /open_hitter/
5 fixing synthesizable stvhawes 3325d 03h /open_hitter/
4 developing ideas around unit test / fpga verification stvhawes 3325d 15h /open_hitter/
3 developing ideas around unit test / fpga verification stvhawes 3325d 15h /open_hitter/
2 initial sources, wrappers for regression test harness stvhawes 3336d 17h /open_hitter/
1 The project and the structure was created root 3338d 12h /open_hitter/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.