OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] - Rev 6

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
6 Added Sim_test_1.png and Sim_test_8.png simulation pictures.
Changed testbench for faster data input.
Changed License text on all files.
Consolidated file header info.
jdoin 2875d 01h /sha256_hash_core/trunk/
5 Reduced images sizes. jdoin 2876d 04h /sha256_hash_core/trunk/
4 Reduced block diagrams image sizes. jdoin 2876d 04h /sha256_hash_core/trunk/
3 Added GV_SHA256 block logic schematics. jdoin 2876d 07h /sha256_hash_core/trunk/
2 SHA256 RTL code simulated and verified, to all NIST verification vectors.
Pre-par synthesis show 74MHz clock rate, with no pipelining.
jdoin 2876d 09h /sha256_hash_core/trunk/
1 The project and the structure was created root 2880d 08h /sha256_hash_core/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.