OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [tags/] [rel_3_2_rev_C/] [doc/] - Rev 74

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
74 New directory structure. root 5570d 09h /spi_boot/tags/rel_3_2_rev_C/doc/
73 This commit was manufactured by cvs2svn to create tag 'rel_3_2_rev_C'. 6149d 19h /spi_boot/tags/rel_3_2_rev_C/doc/
64 revision 3.3:
* additional check for illegal command on ACMD41
* extension of set selection vector
arniml 6150d 18h /spi_boot/tags/rel_3_2_rev_C/doc/
63 additional check for illegal command on ACMD41 arniml 6150d 18h /spi_boot/tags/rel_3_2_rev_C/doc/
55 document rev. 3.2 arniml 6660d 01h /spi_boot/tags/rel_3_2_rev_C/doc/
54 revision 3.2:
+ detailed description of generic parameters
+ formatting and typos fixed
arniml 6660d 01h /spi_boot/tags/rel_3_2_rev_C/doc/
53 fix last set number arniml 6660d 01h /spi_boot/tags/rel_3_2_rev_C/doc/
52 fix typo arniml 6660d 03h /spi_boot/tags/rel_3_2_rev_C/doc/
51 revision 3.1:
+ formatting corrected
arniml 6994d 07h /spi_boot/tags/rel_3_2_rev_C/doc/
50 document rev. 3.1 arniml 6994d 07h /spi_boot/tags/rel_3_2_rev_C/doc/
47 schematic revision C arniml 6995d 21h /spi_boot/tags/rel_3_2_rev_C/doc/
46 document rev. 3.0 arniml 6995d 21h /spi_boot/tags/rel_3_2_rev_C/doc/
45 revision 3.0:
+ added detached output
+ describe interfacing on data port
+ several improvements
arniml 6995d 21h /spi_boot/tags/rel_3_2_rev_C/doc/
44 add detached output arniml 6995d 21h /spi_boot/tags/rel_3_2_rev_C/doc/
36 update set_sel input arniml 7031d 23h /spi_boot/tags/rel_3_2_rev_C/doc/
33 document rev. 2.0 arniml 7032d 20h /spi_boot/tags/rel_3_2_rev_C/doc/
32 schematic revision B arniml 7032d 20h /spi_boot/tags/rel_3_2_rev_C/doc/
31 revision 2.0:
+ set selection
+ memory organization
arniml 7032d 20h /spi_boot/tags/rel_3_2_rev_C/doc/
30 update for rev. 2.0 arniml 7032d 20h /spi_boot/tags/rel_3_2_rev_C/doc/
29 initial check-in arniml 7032d 20h /spi_boot/tags/rel_3_2_rev_C/doc/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.