OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] [tiny_aes/] [trunk/] - Rev 7

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 Upload specification homer.hsing 4244d 23h /tiny_aes/trunk/
6 Add AES-192. Less memory bit in lookup_table. homer.hsing 4246d 14h /tiny_aes/trunk/
5 AES 256 :) homer.hsing 4247d 11h /tiny_aes/trunk/
4 Add "_128" suffix to aes_128 related module to prevent name conflict homer.hsing 4247d 14h /tiny_aes/trunk/
3 Add synthesis constraints, testbench simulation script homer.hsing 4248d 14h /tiny_aes/trunk/
2 Release under Apache License v2.0 homer.hsing 4248d 15h /tiny_aes/trunk/
1 The project and the structure was created root 4249d 12h /tiny_aes/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.