OpenCores
URL https://opencores.org/ocsvn/ultimate_crc/ultimate_crc/trunk

Subversion Repositories ultimate_crc

[/] [ultimate_crc/] [trunk/] - Rev 12

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 New directory structure. root 5562d 22h /ultimate_crc/trunk/
11 Added new test case gedra 6075d 19h /trunk/
10 Code beautification gedra 6075d 19h /trunk/
9 Moved match signal into clock enable gedra 6963d 13h /trunk/
8 test bench. gedra 6963d 16h /trunk/
7 Parallel implementation gedra 6963d 17h /trunk/
6 Component declarations gedra 6963d 17h /trunk/
5 Ultimate CRC specification. gedra 6964d 19h /trunk/
4 Reuse notice gedra 6965d 19h /trunk/
3 Serial implementation. gedra 6965d 20h /trunk/
2 Copyright notice. gedra 6967d 15h /trunk/
1 Standard project directories initialized by cvs2svn. 6967d 15h /trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.