OpenCores
URL https://opencores.org/ocsvn/i2s_interface/i2s_interface/trunk

Subversion Repositories i2s_interface

[/] - Rev 21

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
21 Fixed equations for RATIO bits in configuration register. gedra 7076d 11h /
20 Fixed equations for RATIO bits in configuration register. gedra 7076d 12h /
19 De-linted. gedra 7239d 16h /
18 De-linting. gedra 7240d 10h /
17 Removed conf_inten, and fixed bug in transmitter master mode. gedra 7240d 10h /
16 Top level test bench. gedra 7242d 14h /
15 Transmitter top level, slave mode. gedra 7242d 14h /
14 Transmitter top level, master mode. gedra 7242d 14h /
13 Receiver top level, slave mode. gedra 7242d 14h /
12 Receiver top level, master mode. gedra 7242d 14h /
11 Transmitter component declarations. gedra 7242d 14h /
10 Receiver component declarations. gedra 7242d 14h /
9 Transmitter Wishbone cycle decoder. gedra 7243d 10h /
8 Receiver Wishbone cycle decoder. gedra 7243d 10h /
7 I2S encoder/decoder. gedra 7243d 10h /
6 Version register. gedra 7243d 10h /
5 Reuse notice. gedra 7243d 10h /
4 Wishbone test bench notice. gedra 7243d 10h /
3 I2S interface specification. gedra 7243d 10h /
2 GPL License gedra 7243d 10h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.